电网结构、集成电路结构及其形成方法技术

技术编号:18140658 阅读:61 留言:0更新日期:2018-06-06 13:14
集成电路(IC)结构包括定向为电源导轨方向的电源导轨,和位于电源导轨之上且定向为与电源导轨方向垂直的第一金属层级方向的第一金属区段。位于电源导轨和第一金属区段之间的第一通孔定位于第一金属区段和电源导轨重叠的位置处。第二金属区段定位于第一金属区段之上,与电源导轨重叠,并且定向为电源导轨方向。第二通孔定位于第一金属区段和第二金属区段之间的第一通孔之上,并且电源带定位于第二金属区段之上。电源带电连接至电源导轨,多个第一金属区段中的每个第一金属区段具有最小宽度,并且电源带的宽度大于最小宽度。本发明专利技术还提供了集成电路(IC)结构及其形成方法。

【技术实现步骤摘要】
电网结构、集成电路结构及其形成方法
本专利技术的实施例一般地涉及半导体
,更具体地,涉及电网结构、集成电路结构及其形成方法。
技术介绍
在许多集成电路(IC)中,电源导轨用于将电源分配到形成在衬底中的功能电路元件。通常使用金属层将电源传输至电源导轨,其中,金属层位于电源导轨和电源导轨的层级之上的层级处的电源带之间。包括这种金属层的IC结构的电阻可以影响电源传输效率、热量生成以及对电迁移(EM)的敏感性。金属层的布线还可以影响额外的电连接至功能电路元件的布线。
技术实现思路
根据本专利技术的一方面,提供了一种集成电路(IC)结构,包括:电源导轨,定位于电源导轨层级上并且定向为电源导轨方向;多个第一金属区段,定位于所述电压导轨层级之上的第一金属层级处,所述多个第一金属区段定向为与所述电源导轨方向垂直的第一金属层级方向;多个第一通孔,位于所述电源导轨层级和所述第一金属层级之间,所述多个第一通孔中的每个第一通孔定位于所述多个第一金属区段中的相应第一金属区段与所述电源导轨重叠的位置处;第二金属区段,定位于所述第一金属层级之上的第二金属层级处,所述第二金属区段与所述电源导轨重叠并且定向为所述电源导轨方向;多个第二通孔,位于所述第一金属层级和所述第二金属层级之间,所述多个第二通孔中的每个第二通孔定位于所述多个第一通孔中的相应第一通孔之上的位置处,以及电源带,定位于所述第二金属层级之上的电源带层级处;其中:IC结构被配置为将所述电源带电连接至所述电源导轨;所述多个第一金属区段中的每个第一金属区段的宽度与所述第一金属层级的预定最小宽度相对应;以及所述电源带的宽度大于所述电源带层级的预定最小宽度。根据本专利技术的另一方面,提供了一种集成电路(IC)结构,包括:第一电源导轨,定位于电源导轨层级处且定向为电源导轨方向;第二电源导轨,定位于所述电源导轨层级处且定向为所述电源导轨方向;第一金属区段,定位于所述电源导轨层级之上的金属区段层级处,所述第一金属区段与所述第一电源导轨重叠并且定向为所述电源导轨方向;第二金属区段,定位于所述金属区段层级处,所述第二金属区段与所述第二电源导轨重叠并且定向为所述电源导轨方向;多个金属带,定位于所述电源导轨层级之上的金属带层级处,所述多个金属带中的每个金属带与所述第一电源导轨和所述第二电源导轨重叠,并且定向为与所述电源导轨方向垂直的金属带方向;多个金属短线,定位于所述电源导轨层级之上的金属短线层级处,所述多个金属短线中的每个金属短线与所述第一电源导轨或所述第二电源导轨重叠,并且定向为所述金属带方向;第一电源带,定位于所述金属区段层级、所述金属带层级和所述金属短线层级之上的电源带层级处;第二电源带,定位于所述电源带层级处;以及多个通孔阵列,通孔阵列的数量比金属层级的总数少一个,每个通孔阵列包括定位于相应的相邻金属层级的金属元件重叠的位置处的多个通孔;其中,所述IC结构配置为将所述第一电源带电连接至所述第一电源导轨并且将所述第二电源带单独地电连接至所述第二电源导轨;多个金属带中的每个金属带的宽度与所述金属带层级的预定最小宽度相对应;多个金属短线中的每个金属短线的宽度与所述金属短线层级的预定最小宽度相对应;以及第一电源带和第二电源带中的每个的宽度大于所述电源带层级的预定最小宽度。根据本专利技术的又一方面,提供了一种形成集成电路(IC)结构的方法,所述方法包括:在电源导轨层级处形成第一电源导轨和第二电源导轨,所述第一电源导轨和所述第二电源导轨定向为电源导轨方向;在所述电源导轨层级之上的第一金属层级处形成多个第一金属区段,所述多个第一金属区段定向为与所述电源导轨方向垂直的第一金属层级方向上,所述多个第一金属区段中的每个第一金属区段与所述第一电源导轨和所述第二电源导轨中的一个或两个重叠;在所述电源导轨层级和所述第一金属层级之间形成多个第一通孔,所述多个第一通孔中的每个第一通孔定位于所述多个第一金属区段中的相应第一金属区段与所述第一电源导轨或所述第二电源导轨重叠的位置处;在所述第一金属层级之上的第二金属层级处形成多个第二金属区段,所述多个第二金属区段中的每个第二金属区段定向为所述电源导轨方向,所述多个第二金属区段中的至少一个第二金属区段与所述第一电源导轨重叠,并且所述多个第二金属区段中的至少一个第二金属区段与所述第二个电源导轨重叠;在所述第一金属层级和所述第二金属层级之间形成多个第二通孔,所述多个第二通孔中的每个第二通孔定位于所述多个第一通孔中的相应第一通孔之上的位置处;以及在所述第二金属层级之上的电源带层级处形成第一电源带;以及在所述电源带层级处形成第二电源带;其中:形成所述集成电路结构包括:配置所述集成电路结构以将所述第一电源带电连接至所述第一电源导轨并且将所述第二电源带电连接至所述第二电源导轨;形成所述多个第一金属区段包括:形成具有与所述第一金属层级的预定最小宽度相对应的宽度的所述多个第一金属区段中的每个第一金属区段;形成所述第一电源带包括:形成具有比所述电源带层级的预定最小宽度更大的宽度的所述第一电源带;以及形成所述第二电源带包括:形成具有比所述电源带层级的预定最小宽度更大的宽度的所述第二电源带。附图说明当结合附图进行阅读时,从以下详细描述可最佳地理解本专利技术的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。图1A、图1B和图1C是根据一些实施例的IC结构的示图。图2A、图2B和图2C是根据一些实施例的IC结构的示图。图3A、图3B和图3C是根据一些实施例的IC结构的示图。图4A和图4B是根据一些实施例的IC结构的示图。图5A和图5B是根据一些实施例的IC结构的示图。图6是根据一些实施例的形成IC结构的方法的流程图。图7是根据本专利技术的至少一个实施例的IC结构的框图。图8A是根据本专利技术的至少一个实施例的IC结构的导线结构的布局图。图8B是根据本专利技术的至少一个实施例的与图8A的布局相关的IC结构的导线结构的截面图。图9A是根据本专利技术的至少一个实施例的IC结构的导线结构的布局图。图9B是根据本专利技术的至少一个实施例与图9A的布局相关的IC结构的导线结构的截面图。图10A是根据一些实施例的生成IC结构的布局的方法的流程图。图10B是根据本专利技术的至少一个实施例的在布局中移动IC结构的至少一个柱的方法的高等级工艺流程图。图11是根据本专利技术的至少一个实施例的电子设计自动化(EDA)系统的框图。图12是根据本专利技术的至少一个实施例的集成电路(IC)制造系统和与其相关的IC制造流程的框图。图13A-图13B是根据本专利技术的至少一个实施例的IC结构的一个移动柱的部分的布局图。图14A-图14B是根据本专利技术的至少一个实施例的IC结构的两个移动柱的部分的布局图。图15是根据本专利技术的至少一个实施例的在布局中移动IC结构的至少一个柱的另一方法的高等级工艺流程图。图16是集成电路(IC)制造系统1600的实施例和与IC制造系统相关联的IC制造流程的简化框图。具体实施方式以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下文描述了组件、值、操作、材料、布置等的特定实例以简化本专利技术。当然,这些仅仅是实例,而不旨在限制本专利技术。其他组件、值、操作、材料本文档来自技高网...
电网结构、集成电路结构及其形成方法

【技术保护点】
一种集成电路(IC)结构,包括:电源导轨,定位于电源导轨层级上并且定向为电源导轨方向;多个第一金属区段,定位于所述电压导轨层级之上的第一金属层级处,所述多个第一金属区段定向为与所述电源导轨方向垂直的第一金属层级方向;多个第一通孔,位于所述电源导轨层级和所述第一金属层级之间,所述多个第一通孔中的每个第一通孔定位于所述多个第一金属区段中的相应第一金属区段与所述电源导轨重叠的位置处;第二金属区段,定位于所述第一金属层级之上的第二金属层级处,所述第二金属区段与所述电源导轨重叠并且定向为所述电源导轨方向;多个第二通孔,位于所述第一金属层级和所述第二金属层级之间,所述多个第二通孔中的每个第二通孔定位于所述多个第一通孔中的相应第一通孔之上的位置处,以及电源带,定位于所述第二金属层级之上的电源带层级处;其中:IC结构被配置为将所述电源带电连接至所述电源导轨;所述多个第一金属区段中的每个第一金属区段的宽度与所述第一金属层级的预定最小宽度相对应;以及所述电源带的宽度大于所述电源带层级的预定最小宽度。

【技术特征摘要】
2016.11.29 US 62/427,630;2017.10.10 US 15/729,2811.一种集成电路(IC)结构,包括:电源导轨,定位于电源导轨层级上并且定向为电源导轨方向;多个第一金属区段,定位于所述电压导轨层级之上的第一金属层级处,所述多个第一金属区段定向为与所述电源导轨方向垂直的第一金属层级方向;多个第一通孔,位于所述电源导轨层级和所述第一金属层级之间,所述多个第一通孔中的每个第一通孔定位于所述多个第一金属区段中的相应第一金属区段与所述电源导轨重叠的位置处;第二金属区段,定位于所述第一金属层级之上的第二金属层级处,所述第二金属区段与所述电源导轨重叠并且定向为所述电源导轨方向;多个第二通孔,位于所述第一金属层级和所述第二金属层级之间,所述多个第二通孔中的每个第二通孔定位于所述多个第一通孔中的相应第一通孔之上的位置处,以及电源带,定位于所述第二金属层级之上的电源带层级处;其中:IC结构被配置为将所述电源带电连接至所述电源导轨;所述多个第一金属区段中的每个第一金属区段的宽度与所述第一金属层级的预定最小宽度相对应;以及所述电源带的宽度大于所述电源带层级的预定最小宽度。2.根据权利要求1所述的集成电路结构,其中,所述电源带是定向为所述第一金属层级方向的多个电源带中的一个电源带;所述集成电路结构还包括:多个第三金属区段,位于所述第二金属层级之上的第三金属层级处,所述多个第三金属区段定向为所述第一金属层级方向;多个第三通孔,位于所述第二金属层级和所述第三金属层级之间,所述多个第三通孔中的每个第三通孔定位于所述多个第三金属区段中第三金属区段与所述电源导轨重叠的位置处;多个第四金属区段,位于所述第三金属层级之上且位于所述电源带层级之下的第四金属层级处,所述多个第四金属区段定向为所述电源导轨方向;多个第四通孔,位于所述第三金属层级和所述第四金属层级之间,所述多个第四通孔中的每个第四通孔定位于所述多个第三通孔的相应第三通孔之上的位置处;以及多个第五通孔,位于所述第四金属层级和所述电源带层级之间,所述多个第五通孔中的每个第五通孔定位于所述多个电源带中的电源带与所述多个第四金属区段中的相应第四金属区段重叠的位置处;所述多个第三金属区段中的每个第三金属区段的宽度与所述第三金属层级的预定最小宽度相对应;以及所述多个第四金属区段中的每个第四金属区段的宽度与所述第四金属层级的预定最小宽度相对应。3.根据权利要求1所述的集成电路结构,其中,所述多个第一金属区段包括:交替的第一集合的多对第一金属区段和第二集合的多对第一金属区段;所述多个第一通孔将所述第一集合的多对第一金属区段电连接至所述电源导轨,并且不将所述第二集合的多对第一金属区段电连接至所述电源导轨;所述第二金属区段是多个第二金属区段中的一个第二金属区段;以及所述多个第二金属区段中的每个第二金属区段在所述第一集合的多对第一金属区段中的相应对第一金属区段与所述电源导轨重叠的位置处与所述电源导轨重叠,并且在所述第二集合的多对第一金属区段与所述电源导轨重叠的位置处与所述电源导轨不重叠;以及所述多个第二金属区段中的每个第二金属区段的宽度与所述第二金属层级的预定最小宽度相对应。4.根据权利要求3所述的集成电路结构,其中,所述第一集合的多对第一金属区段中的每对第一金属区段和所述第二集合的多对第一金属区段中的每对第一金属区段之间具有第一间距;所述第一集合的多对第一金属区段中的所述多对第一金属区段与所述第二集合的多对第一金属区段中的所述多对第一金属区段之间具有第二间距;以及所述第二间距是所述第一间距的倍数。5.根据权利要求1所述的集成电路结构,其中,所述多个第一通孔中的第一通孔,定位于所述多个第一金属区段中的相应第一金属区段与所述电源导轨重叠的每个位置处。6.根据权利要求5所述的集成电路结构,还包括:多个第三金属区段,定位于所述第二金属层级之上的第三金属层级处,所述多个第三金属区段定向为所述第一金属层级方向并且包括交替的第二集合的第三金属区段和第一集合的第三金属区段;以及多个第三通孔,所述多个第三通孔...

【专利技术属性】
技术研发人员:希兰梅·比斯瓦斯余基业王中兴杨国男斯帝芬·鲁苏林晋申
申请(专利权)人:台湾积体电路制造股份有限公司
类型:发明
国别省市:中国台湾,71

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1