掩模优化方法技术

技术编号:18302239 阅读:43 留言:0更新日期:2018-06-28 12:05
本公开提供一种掩模优化方法,步骤包括:接收具有一集成电路图案的一集成电路(IC)设计布局;根据一目标放置模型,产生对应于上述集成电路图案的一轮廓的多个目标点,其中上述目标放置模型是根据上述集成电路图案的一分类所选择;以及使用上述目标点对上述集成电路图案执行一光学邻近校正(OPC),从而产生一修正的集成电路布局。

Mask optimization method

The present disclosure provides a mask optimization method, which includes receiving an integrated circuit (IC) design layout with an integrated circuit pattern; placing a model according to a target to generate a plurality of target points corresponding to a contour of the above integrated circuit pattern, in which the above target placement model is based on the above integrated circuit pattern. The classification is selected; and the above target points are used to perform an optical proximity correction (OPC) for the above integrated circuit patterns, thus producing a modified integrated circuit layout.

【技术实现步骤摘要】
掩模优化方法
本专利技术涉及光刻优化技术,特别涉及光学邻近校正(opticalproximitycorrection,OPC)技术。
技术介绍
因集成电路技术不断朝更小的特征尺寸(例如32纳米、28纳米、20纳米及更小)发展,使得集成电路设计变得更具挑战性。举例来说,当制造集成电路装置时,集成电路装置性能受到光刻印刷性能(printabilitycapability)很大的影响,其表示形成于一晶圆上对应于由集成电路设计布局所定义的目标图案的一最后晶圆图案的结果。为了提高光刻印刷性能,引入了各种着重于优化用于将对应于目标图案的一影像投影于晶圆上的一掩模的方法,例如光学邻近校正(OPC)、掩模邻近校正(maskproximitycorrection,MPC)、逆光刻技术(inverselithographytechnology,ILT)以及源掩模优化(sourcemaskoptimization,SMO)。尽管上述方法一般而言足以达到预期的目的,但在各方面并不完全令人满意。
技术实现思路
本专利技术一实施例是提供一种掩模优化方法,步骤包括:接收具有一集成电路图案的一集成电路(IC)设计布局;根据一目标放置模型,产生对应于集成电路图案的一轮廓的多个目标点,其中目标放置模型是根据集成电路图案的一分类所选择;以及使用目标点对集成电路图案执行一光学邻近校正(OPC),从而产生一修正的集成电路布局。附图说明本专利技术可通过阅读以下的详细说明以及范例并配合相应的附图以更详细地了解。需要强调的是,依照业界的标准操作,各种特征并未依照比例绘制,并且仅用于说明的目的。事实上,为了清楚论述,各种特征的尺寸可以任意地增加或者减少。图1为根据本专利技术一实施例所述的集成电路(IC)制造系统的简化方框图,以及与IC制造系统相关的IC制造流程。图2为根据本专利技术一实施例所述的可由图1的IC制造系统所执行的基于光学邻近校正(OPC)的计算光刻方法的流程图。图3A-图3E为根据本专利技术一实施例所述的经光刻优化过程(例如图2的基于光学邻近校正的计算光刻方法)处理的集成电路图案的示意性俯视图。图4A-图4D为根据本专利技术一实施例所述的经过例如与图2的计算光刻方法相关的一分类程序处理后的集成电路设计布局的示意性俯视图。图5为根据本专利技术一实施例所述的可于图2的计算光刻方法期间所执行的一目标放置模型产生方法的流程图。图6为根据本专利技术一实施例所述的电子束直写器(electron-beamwriter)的简化方框图。图7为根据本专利技术一实施例所述的光刻系统的简化方框图。图8为根据本专利技术一实施例所述的可由图1的IC制造系统10所执行的掩模优化系统的简化方框图。附图标记说明:10~集成电路制造系统100~计算光刻方法110~195~计算光刻方法的步骤112~集成电路图案114~目标轮廓124A~124H~区段132A~132C~区段类型134~集成电路设计布局135A~135C~线图案136~集成电路设计布局138~集成电路设计布局15~设计公司152A~152C~目标点160~目标布局模型产生方法162~168~目标放置模型产生方法的步骤20~掩模公司200~电子束直写器204~电子束敏感光刻胶层206~掩模基板208~平台210~腔室212~电子束源214~电子束216~电子束柱218~泵单元25~集成电路制造商250~光学光刻系统252~照明源模块254~照明光学模块256~掩模模块258~投影光学模块260~目标模块30~集成电路装置300~掩模优化系统302~处理器304~系统存储器306~大容量存储装置308~通信模块320~集成电路设计布局模块325~集成电路图案剖析模块330~IC数据收集模块335~IC制造数据库340~集成电路图案分类模块345~重定向模块35~IC制造数据库350~目标放置模块355~目标放置规则模块360~OPC模块365~光刻工艺检查模块40~掩模数据准备模块42~光学邻近校正44~光刻工艺检查45~掩模制造模块50~投影晶圆影像55~显影后检查60~最后晶圆图案A1~A7~IC形状具体实施方式以下是提供了许多不同的实施例、或者示例,用于执行本专利技术的不同特征。以下是公开各种元件以及配置的具体实施例或者示例以简化描述本专利技术。当然这些仅为示例但不以此为限。举例来说,说明书中第一特征位于第二特征上方的结构可包括以第一特征与第二特征直接接触的形式,以及可包括以于第一特征与第二特征之间插入额外的特征的形式,使得第一特征以及第二特征并未直接接触。此外,本专利技术于各种示例中将重复标号及/或者字母。上述的重复用于简化以及清楚的目的,并非用以指定各种实施例及/或者上述配置中的关系。图1为一集成电路(IC)制造系统10以及与集成电路制造系统相关的一IC生产流程的简单方框图。集成电路制造系统10包括若干实体机构(entity),例如一设计公司(designhouse)15、一掩模公司(maskhouse)20、以及一集成电路制造商(ICmanufacturer)(即晶圆厂)25。前述的实体机构于设计、开发、以及制造周期及/或于制造集成电路装置30相关的服务(service)中彼此互动。前述多个实体机构可以通信网络(communicationnetwork)连接,例如,单一网络或是多种不同的网络,例如内部网络(intranet)或是网际网络,并可包括有线或是无线的通信管道(communicationchannel)。每一实体可与其它实体机构互动,且可由其它实体机构提供服务或是接收服务。一或多个设计公司15、掩模公司20、及/或集成电路制造商25可由单一大型公司所拥有,甚至可存在一共同设施中并使用共同资源。设计公司15用以产生一集成电路设计布局(ICdesignlayout)35(亦称为IC设计图案)。集成电路设计布局35包含各种根据被制造的集成电路产品的规格设计给集成电路产品(ICproduct)的电路图案。电路图案对应至形成于各个材料层(例如金属层、氧化层或是半导体层)中的几何图案,这些几何图案结合后形成集成电路产品(例如集成电路装置30)的集成电路元件。举例来说,集成电路设计布局35的一部分可包括形成于一基板(例如硅晶圆)上及/或设置于基板的各个材料层上的各个集成电路元件。这些不同的元件可包括一主动区、一栅极元件(例如一栅极介电层及/或栅极电极)、一源极/漏极元件、一互连元件、一焊垫(bondingpad)元件、其它集成电路元件、或者上述元件的组合等。于一些实施例中,将辅助特征插入至集成电路设计布局35中以提供成像效应(imagingeffect)、工艺加强(processenhancement)及/或识别信息。与用于优化掩模图案(掩模布局)的光学邻近校正(OPC)处理类似的一几何邻近校正(geometryproximitycorrection,GPC)处理可根据与集成电路制造相关的环境影响产生辅助特征,环境影响包括蚀刻负载效应(etchingloadingeffect)、图形化负载效应(patterningloadingeffect)及/或化学机械平坦化工艺效应(chemicalmechanicalpolishing(CMP)processeff本文档来自技高网...
掩模优化方法

【技术保护点】
1.一种掩模优化方法,包括:接收具有一集成电路图案的一集成电路(IC)设计布局;根据一目标放置模型,产生对应于上述集成电路图案的一轮廓的多个目标点,其中上述目标放置模型是根据上述集成电路图案的一分类所选择;以及使用上述目标点对上述集成电路图案执行一光学邻近校正(OPC),从而产生一修正的集成电路布局。

【技术特征摘要】
2016.12.20 US 62/436,877;2017.07.19 US 15/653,7841.一种掩模优化方法,包括:接收具有一集成电路图案的...

【专利技术属性】
技术研发人员:王宏钧刘楫平张凤如张景旭刘文豪叶佳峰池明辉蔡振坤简玮成黄文俊唐于博
申请(专利权)人:台湾积体电路制造股份有限公司
类型:发明
国别省市:中国台湾,71

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1