减少时分复用蚀刻工艺中蚀刻纵横比相关度的方法和装置制造方法及图纸

技术编号:3186021 阅读:150 留言:0更新日期:2012-04-11 18:40
本发明专利技术提供了一种用于减少纵横比相关蚀刻的方法和装置,该纵横比相关蚀刻通过交替的淀积/蚀刻工艺在半导体基板中等离子体蚀刻深的沟槽时观察到。在交替的淀积/蚀刻工艺过程中,实时地监视基板上的多个不同尺寸的图形。然后,基于自监视器接收的信息,在交替的淀积/蚀刻工艺中调节至少一个工艺参数,以获得基板上的至少两个不同尺寸图形的相等蚀刻深度。

【技术实现步骤摘要】
【国外来华专利技术】
本专利技术涉及一种用于等离子体蚀刻基板中的图形的方法和装置。更具体地,本专利技术涉及,通过控制用于蚀刻高纵横比硅结构的交替的淀积和蚀刻步骤,减少该结构的制造中的纵横比相关蚀刻。
技术介绍
硅中的三维结构的制作广泛地用于制造微机电(MEMS)器件。该结构常常具有高的纵横比(AR)以及数十至数百微米的深度。而且,大部分器件设计需要具有不同尺寸的结构,并且因此不同的AR共同存在于单一的微芯片上。多种处理技术已应用于制作三维微结构。使用等离子体状态下的反应性气体的干法蚀刻是一种较常使用的用于硅蚀刻的工艺。时分复用(TDM)等离子体蚀刻技术已由Suzuki等人(US 4,579,623)、Kawasaki等人(US 4,795,529)和Laermer等人(US 5,501,893)描述,且典型地用于MEMs应用。TNM蚀刻工艺典型地在反应器中执行,该反应器配置有高密度等离子体源和射频(RF)偏置基板电极。TDM蚀刻工艺使用交替的蚀刻和淀积步骤。例如,在蚀刻硅基板时,六氟化硫(SF6)用作蚀刻气体,而八氟环丁烷(C4F8)用作淀积气体。在蚀刻步骤中,SF6有助于硅的自发的和各向同性的蚀刻;在淀积步骤中,C4F8有助于保护性聚合物钝化到蚀刻结构的侧壁和底部。在后继的蚀刻步骤中,在高能和定向离子轰击之后,由前面的淀积步骤而涂覆在蚀刻结构底部的聚合物膜将被移除,以使硅表面暴露用于进一步的蚀刻。侧壁上的聚合物膜将保留,抑制横向蚀刻。TDM工艺在蚀刻和淀积工艺步骤之间周期性交替,以使得能够以高的蚀刻速率在掩蔽的硅基板中限定高纵横比的结构。图1提供了对TDM蚀刻工艺的示意性说明。TDM工艺由多个步骤组成。一组步骤被称为一个周期(例如,蚀刻和淀积)。一个周期的两次或多次“重复”被称为一个循环。在一个循环中顺序执行多个周期时,在现有技术中已知,对步骤配方参数进行小的改变,以增强外形控制(例如,变形)。在变形的情况中,一个循环可以由一系列的两个或更多周期组成,其中周期中的步骤并非严格地与其他周期中的步骤相同。周期中的步骤可以进一步被分为一个或多个子步骤(例如,蚀刻步骤可被细分为聚合物移除子步骤和各向同性硅蚀刻子步骤)。在深硅蚀刻中存在充分记载的纵横比相关蚀刻(ARDE)现象。已经观察到,硅蚀刻速率随着深度或纵横比(其被定义为图形深度除以图形宽度)的增加而下降。在三维结构的制作过程中,ARDE效应可以以两种方式表现。首先,在进行具有恒定宽度的图形蚀刻工艺时,图形纵横比随着蚀刻时间的增加而增加,导致了蚀刻速率随时间下降。其次,当具有不同尺寸的图形出现在相同的基板上并且一起同时蚀刻时,相比于较窄的沟槽,以较快的速率蚀刻较宽的沟槽。在这两种情况中,蚀刻速率随着纵横比的增加而下降。在图2的截面中示出了ARDE的示例。在该情况中,具有自2.5μm至100μm范围宽度的沟槽被定位为同另一沟槽相邻,并且在一个工艺中蚀刻。在使沟槽深度相对于100μm宽的沟槽的深度归一化时,测量ARDE滞后,该ARDE滞后有时被称为反应性离子蚀刻(RIE)滞后,并且图3中给出了结果。在该情况中,当100μm宽的沟槽被蚀刻到130μm的深度时,10μm宽的沟槽仅被蚀刻到94μm的深度,而2.5μm宽的沟槽仅被蚀刻到62μm的深度。ARDE效应为MEMS器件制作带来了挑战性的复杂化问题。当具有多种横向尺寸的结构共存并且一次蚀刻时,所得到的垂直尺寸是不同的,其可能与器件设计要求不相容。即使对于单一的结构,在蚀刻进程中,垂直蚀刻速率不是恒定的,其可能再次带来工艺控制问题。事实上,RIE滞后是高度复杂的现象,并且提出了许多机制用于解释蚀刻速率随增加的纵横比的变化。通常,许多因素对RIE滞后有显著的贡献,诸如(i)蚀刻结构的底部的离子束流损失;和(ii)由于中性遮蔽和Knudsen输运引起的反应性中性核素耗尽。实际上,MEMS器件的设计者和器件的制造者不得不应对ARDE效应。一个广泛使用的方法是使用蚀刻停止层。在绝缘体上硅(SOI)和玻璃上硅(SOG)晶片中,埋设的氧化物层用于停止蚀刻,以补偿RIE滞后。然而,在使用SOI或SOG晶片时,出现了两个缺点。第一个缺点是硅/氧化物界面处的缺口,其常常是不利的。第二个缺点是,SOI和SOG晶片比硅晶片更昂贵。可替换地,许多团体调查了用于减轻ARDE效应的其他手段。下面是这些调查的总述。Doh等人报告了在电子回旋共振(ECR)等离子体蚀刻系统中在增加的偏置电压和增加的偏置频率时的RIE滞后的改进。Doh等人教导了在ECR系统中通过C4F8+H2等离子体来蚀刻二氧化硅(SiO2)。该偏置电压范围是自100至300伏特,而偏置频率范围是自100kHz至1MHz,并且腔室压力范围是自3.0mTorr至7.5mTorr。较高的偏置频率和偏置电压导致了RIE滞后的显著减少,并且下降的压力也对较小的RIE滞后有贡献。尽管Doh等人非常详细地教导了等离子体参数对RIE滞后的影响,但是他们的技术使用单一步骤的工艺,并且他们并未教导或暗示将其用于TDM工艺中。而且,与在蚀刻硅时获得结果的本专利技术不同,Doh等人的结果是在蚀刻二氧化硅时获得的。Lill等人公开了在感应耦合等离子体(ICP)系统中通过SF6等离子体蚀刻多晶硅的实验中的RIE滞后结果。在高的压力(高达20mTorr)和介质阴极温度(即,45℃)时报告了减少的RIE滞后。尽管该结果是值得注意的,但是多晶硅是通过单一步骤的工艺蚀刻的。不同地,TDM工艺利用交替的淀积和蚀刻方案。Tsujimoto等人教导了一种方法,其中减少气体驻留时间,以减少RIE滞后。在ICP系统中使用Cl2等离子体蚀刻多晶硅。在较低的腔室压力下,观察到RIE滞后减少。然而,利用Cl2等离子体的蚀刻工艺不是TDM工艺,并且利用Cl2等离子体的非常低的蚀刻速率和低的掩膜选择性,使得蚀刻大于数十微米深度的三维结构是不切实际的。Chung等人(美国专利申请No.2003/0171000)教导了通过两种方法减少TDM硅蚀刻工艺的ARDE。第一种方法教导了,修改图案的几何特征,使宽的和窄的图形之间的负载相等。第二种方法教导了,关闭自动压力控制阀,以提升蚀刻和淀积步骤中的工艺压力。Chung等人未教导增加淀积时间、减少蚀刻时间、增加聚合物淀积速率、或者降低聚合物移除速率,以修正ARDE。此外,Chung等人未教导或暗示在工艺过程中对蚀刻深度差使用实时反馈,以修正ARDE。Richard等人(SPIE会议“Microelectroinic & MEMs Technologies”,Edinburgh(UK),2001年5月)执行了一系列的设计实验,以使用TDM工艺减少ARDE。在他们的实验过程中,他们发现,通过较短的蚀刻时间、低的压力、低的台板(platen)(RF偏置)功率和增加的淀积时间,来使ARE最小。Richard等人未公开用于实时测量工艺中滞后程度的方法。而且,Richard等人未公开在TDM工艺过程中使用闭环自适应配方控制使ARDE最小。Lill等人(美国专利No.6,632,321)教导了,使用干涉测量用于实时蚀刻速率控制。Lill等人使用的干涉测量基于紫外(UV)光源,而本专利技术使用激光源。Lill本文档来自技高网...

【技术保护点】
一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法,所述方法包括:将基板置于真空腔室中;借助于等离子体,在所述基板上淀积钝化层;借助于等离子体,移除至少一部分所述钝化层;借助于等离子体,自所述基板蚀刻材料; 执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环;随时间监视所述基板上的不同尺寸的图形;基于所述监视步骤,控制工艺循环步骤;和自真空腔室移除基板。

【技术特征摘要】
【国外来华专利技术】US 2004-6-29 60/584,4701.一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法,所述方法包括将基板置于真空腔室中;借助于等离子体,在所述基板上淀积钝化层;借助于等离子体,移除至少一部分所述钝化层;借助于等离子体,自所述基板蚀刻材料;执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环;随时间监视所述基板上的不同尺寸的图形;基于所述监视步骤,控制工艺循环步骤;和自真空腔室移除基板。2.如权利要求1所述的方法,其中所述监视步骤进一步包括由差分干涉仪生成初始信号;在开始所述工艺循环步骤之前,评估所述初始信号;在所述工艺循环步骤过程中,由所述差分干涉仪生成工艺信号;将所述工艺信号同所述初始信号比较;和当所述工艺信号约等于所述初始信号时,终止工艺循环步骤。3.如权利要求1所述的方法,其中所述蚀刻步骤进一步包括多个子步骤。4.如权利要求1所述的方法,其中所述蚀刻步骤进一步包括至少一个各向同性子步骤。5.一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法,所述方法包括将基板置于真空腔室中;借助于等离子体,在所述基板上淀积聚合物;借助于等离子体,移除至少一部分所述聚合物;借助于等离子体,自所述基板蚀刻材料;执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环;在至少一个步骤中调节工艺参数,以获得至少两个不同尺寸图形的相等蚀刻深度;和自真空腔室移除基板。6.如权利要求5所述的方法,其中所述工艺参数是淀积时间段。7.如权利要求5所述的方法,其中所述工艺参数是移除时间段。8.如权利要求5所述的方法,其中所述工艺参数是蚀刻时间段。9.如权利要求5所述的方法,其中所述工艺参数是聚合物的淀积速率。10.如权利要求9所述的方法,其中通过提供给所述腔室中淀积气体的RF功率,控制所述聚合物的淀积速率。11.如权利要求9所述的方法,其中通过流入所述腔室中的淀积气体的流速,控制所述聚合物的淀积速率。12.如权利要求9所述的方法,其中通过所述腔室中淀积气体的压力,控制所述聚合物的淀积速率。13.如权利要求5所述的方法,其中所述工艺参数是聚合物的移除速率。14.如权利要求13所述的方法,其中通过提供给所述腔室中移除气体的RF功率,控制所述聚合物的移除...

【专利技术属性】
技术研发人员:赖守亮大卫约翰逊拉塞尔韦斯特曼
申请(专利权)人:优利讯美国有限公司
类型:发明
国别省市:US[美国]

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1
相关领域技术
  • 暂无相关专利