集成电路的时序收敛方法、装置、服务器及可读存储介质制造方法及图纸

技术编号:33840671 阅读:48 留言:0更新日期:2022-06-16 12:06
本申请提供一种集成电路的时序收敛方法、装置、服务器及可读存储介质,应用于集成电路技术领域,该方法首先构建第一模块的端口互连网路,并进一步基于第一模块和端口互连网路获得与第一模块时序等价的等价模块,由于端口互连网路能够实现第一模块中任一端口以及与任一端口具有连接关系的端口的逻辑直连,在对等价模块中的第一模块进行时序收敛验证时,可以通过端口互连网路模拟第一模块的多个模块实例相互连接,或者第一模块与其他模块相连的验证场景,有利于第一模块自身以及第一模块与其他模块连接情况下端口时序的同步收敛,有效降低迭代验证次数,缩短时序收敛过程的耗时,提高验证效率,同时,有助于提高集成电路整体设计效率。计效率。计效率。

【技术实现步骤摘要】
集成电路的时序收敛方法、装置、服务器及可读存储介质


[0001]本申请涉及集成电路
,具体涉及一种集成电路的时序收敛方法、装置、服务器及可读存储介质。

技术介绍

[0002]近年来,在大规模集成电路的物理设计过程中,往往会针对集成电路内部某一子模块的多个模块实例采用阵列式排布,并且,此类集成电路通常采用层次化物理设计方法。
[0003]其中,层次化物理设计方法的大致过程为:先初步进行该子模块自身的物理设计,实现子模块内部的时序收敛,然后实现子模块所属上层模块的时序收敛。其中,上层模块中包括该子模块的多个呈阵列式排布的模块实例以及该子模块以外的其他模块,子模块的各个模块实例之间以及模块实例与其他模块之间相互连接。
[0004]在实际应用中,上层模块和子模块是独立设计,时序收敛过程二者相互影响制约,需要反复迭代验证,导致时序收敛过程耗时长,效率低,甚至导致集成电路的整体设计效率降低。

技术实现思路

[0005]有鉴于此,本申请实施例致力于提供一种集成电路的时序收敛方法、装置、服务器及可读存储介质,以解决现有技术中时序收敛过程耗时长、效率低,导致集成电路整体设计效率低的问题。
[0006]第一方面,本申请提供一种集成电路的时序收敛方法,包括:构建第一模块的端口互连网路,所述端口互连网路实现所述第一模块中任一端口以及与所述任一端口具有连接关系的端口的逻辑直连;基于所述第一模块和所述端口互连网路,获得与所述第一模块时序等价的等价模块;对所述等价模块中的所述第一模块进行时序收敛验证。
[0007]在一种可能的实施方式中,所述构建第一模块的端口互连网路,包括:确定所述第一模块各端口的连接关系;基于所述连接关系获得所述第一模块的端口互连网表;基于所述端口互连网表构建所述端口互连网路。
[0008]在一种可能的实施方式中,基于所述连接关系获得所述第一模块的端口互连网表,包括:基于所述连接关系,在所述第一模块内部建立第一端口与第二端口之间的逻辑直连关系,得到第一端口互连网表;其中,所述第一端口为所述第一模块的任一端口,所述第二端口为所述第一模块中与所述第一端口具有连接关系的端口。
[0009]在一种可能的实施方式中,基于所述端口互连网表构建所述端口互连网路,包括:
基于所述第一端口互连网表进行布局布线,以及对所述第一端口互连网表进行时序收敛验证,得到第一端口互连网路。
[0010]在一种可能的实施方式中,基于所述第一端口互连网表进行布局布线,以及对所述第一端口互连网表进行时序收敛验证,得到第一端口互连网路,包括:生成所述第一端口互连网表的第一时序约束文件和第一布局布线脚本;基于所述第一时序约束文件和所述第一布局布线脚本,设置所述第一端口互连网表的参数;基于设置参数后的第一端口互连网表,对所述第一模块的端口进行布局布线,以及对所述第一端口互连网表进行时序收敛验证,得到第一端口互连网路。
[0011]在一种可能的实施方式中,本专利技术第一方面提供的集成电路的时序收敛方法,还包括:完成所述等价模块中所述第一模块的物理设计,并解除所述等价模块中的所述第一端口互连网路。
[0012]在一种可能的实施方式中,基于所述连接关系获得所述第一模块的端口互连网表,包括:基于所述连接关系,在所述第一模块外部建立第一端口与第二端口,以及所述第一端口与第三端口之间的逻辑直连关系,得到第二端口互连网表;其中,所述第一端口为所述第一模块的任一端口,所述第二端口为所述第一模块中与所述第一端口具有连接关系的端口,所述第三端口为所述第一模块以外的模块的端口。
[0013]在一种可能的实施方式中,基于所述端口互连网表构建所述端口互连网路,包括:基于所述第二端口互连网表进行布局布线,以及对所述第二端口互连网表进行时序收敛验证,得到第二端口互连网路。
[0014]在一种可能的实施方式中,基于所述第二端口互连网表进行布局布线,以及对所述第二端口互连网表进行时序收敛验证,得到第二端口互连网路,包括:生成所述第二端口互连网表的第二时序约束文件和第二布局布线脚本;基于所述第二时序约束文件和所述第二布局布线脚本,设置所述第二端口互连网表的参数;基于设置参数后的第二端口互连网表,对所述第一模块的端口进行布局布线,以及对所述第二端口互连网表进行时序收敛验证,得到第二端口互连网路。
[0015]在一种可能的实施方式中,基于所述连接关系,在所述第一模块外部建立第一端口与第二端口,以及所述第一端口与第三端口之间的逻辑直连关系,得到第二端口互连网表,包括:在所述第一模块外部添加至少一个多路选择单元,所述多路选择单元包括第一输入端口、第二输入端口和输出端口;建立所述第一输入端口与所述第三端口、所述第二输入端口与所述第二端口,以及所述输出端口与所述第一端口之间的逻辑直连关系,得到第二端口互连网表。
[0016]在一种可能的实施方式中,对所述等价模块中的所述第一模块进行时序收敛验证,包括:
对所述等价模块中的所述第一模块自身进行时序收敛验证;以及,对第一模块集合进行时序收敛验证;其中,所述第一模块集合由所述等价模块中的第一模块与其他模块连接后得到。
[0017]在一种可能的实施方式中,在对所述等价模块中的第一模块进行时序收敛验证后,还包括:对第二模块集合进行时序收敛验证;其中,所述第二模块集合由解除所述第二端口互连网路的第一模块与其他模块连接后得到。
[0018]在一种可能的实施方式中,所述确定所述第一模块各端口的连接关系,包括:获取第一模块对应的上层网表;根据所述上层网表确定所述第一模块各端口的连接关系。
[0019]在一种可能的实施方式中,所述基于所述第一模块和所述端口互连网路,获得与所述第一模块时序等价的等价模块,包括:将所述第一模块与所述端口互连网路连接,得到中间模块;确定与第一模块时序等价的中间模块为等价模块。
[0020]第二方面,本专利技术提供一种集成电路的时序收敛装置,包括:构建单元,用于构建第一模块的端口互连网路,所述端口互连网路实现所述第一模块中任一端口以及与所述任一端口具有连接关系的端口的逻辑直连;获得单元,用于基于所述第一模块和所述端口互连网路,获得与所述第一模块时序等价的等价模块;第一验证单元,用于对所述等价模块中的所述第一模块进行时序收敛验证。
[0021]第三方面,本专利技术提供一种服务器,包括存储器、处理器以及存储在所述存储器上被所述处理器执行的计算机程序,所述处理器执行所述计算机程序时实现如本专利技术第一方面任一项所述集成电路的时序收敛方法的步骤。
[0022]第四方面,本专利技术提供一种计算机可读存储介质,其上存储有计算机程序,所述计算机程序被处理器执行时实现如本专利技术第一方面中任一项所述集成电路的时序收敛方法的步骤。
[0023]基于上述内容,本申请提供的集成电路的时序收敛方法,首先构建第一模块的端口互连网路,并进一步基于第一模块和端口互连网路获得与第一模块时序等价的等价模块,由于端口互连网路能够实现第一模块中任一端口以及与任本文档来自技高网
...

【技术保护点】

【技术特征摘要】
1.一种集成电路的时序收敛方法,其特征在于,包括:构建第一模块的端口互连网路,所述端口互连网路实现所述第一模块中任一端口以及与所述任一端口具有连接关系的端口的逻辑直连;基于所述第一模块和所述端口互连网路,获得与所述第一模块时序等价的等价模块;对所述等价模块中的所述第一模块进行时序收敛验证。2.根据权利要求1所述的方法,其特征在于,所述构建第一模块的端口互连网路,包括:确定所述第一模块各端口的连接关系;基于所述连接关系获得所述第一模块的端口互连网表;基于所述端口互连网表构建所述端口互连网路。3.根据权利要求2所述的方法,其特征在于,基于所述连接关系获得所述第一模块的端口互连网表,包括:基于所述连接关系,在所述第一模块内部建立第一端口与第二端口之间的逻辑直连关系,得到第一端口互连网表;其中,所述第一端口为所述第一模块的任一端口,所述第二端口为所述第一模块中与所述第一端口具有连接关系的端口。4.根据权利要求3所述的方法,其特征在于,基于所述端口互连网表构建所述端口互连网路,包括:基于所述第一端口互连网表进行布局布线,以及对所述第一端口互连网表进行时序收敛验证,得到第一端口互连网路。5.根据权利要求4所述的方法,其特征在于,基于所述第一端口互连网表进行布局布线,以及对所述第一端口互连网表进行时序收敛验证,得到第一端口互连网路,包括:生成所述第一端口互连网表的第一时序约束文件和第一布局布线脚本;基于所述第一时序约束文件和所述第一布局布线脚本,设置所述第一端口互连网表的参数;基于设置参数后的第一端口互连网表,对所述第一模块的端口进行布局布线,以及对所述第一端口互连网表进行时序收敛验证,得到第一端口互连网路。6.根据权利要求4所述的方法,其特征在于,还包括:完成所述等价模块中所述第一模块的物理设计,并解除所述等价模块中的所述第一端口互连网路。7.根据权利要求2所述的方法,其特征在于,基于所述连接关系获得所述第一模块的端口互连网表,包括:基于所述连接关系,在所述第一模块外部建立第一端口与第二端口,以及所述第一端口与第三端口之间的逻辑直连关系,得到第二端口互连网表;其中,所述第一端口为所述第一模块的任一端口,所述第二端口为所述第一模块中与所述第一端口具有连接关系的端口,所述第三端口为所述第一模块以外的模块的端口。8.根据权利要求7所述的方法,其特征在于,基于所述端口互连网表构建所述端口互连网路,包括:基于所述第二端口互连网表进行布局布线,以及对所述第二端口互连网表进行时序收敛验证,得到第二端口互连网路。9.根据权利要求8所述的方法,其特征在于,基于所述第二端口互连网表进行布局布
线,以及对所述第二端口互连网表进行时序收敛验证,得到第二端口互连网路,包括:生成所述第二...

【专利技术属性】
技术研发人员:唐涛邹和风蒋剑锋曹灿邹京
申请(专利权)人:飞腾信息技术有限公司
类型:发明
国别省市:

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1