一种基于FPGA的工业大型吊车吊钩位置测量装置制造方法及图纸

技术编号:2509369 阅读:262 留言:0更新日期:2012-04-11 18:40
本发明专利技术涉及一种工业大型吊车吊钩位置测量装置。其方案是将编码器[1]和数据采集器[19]与光电隔离模块[2]连接,光电隔离模块[2]与信号滤波模块[3]和总控制模块[5]分别连接,信号滤波模块[3]与相位方向识别模块[4]连接,相位方向识别模块[4]的一端与双向计数器模块[6]的一端连接,相位方向识别模块[4]的另一端和双向计数器模块[6]的另一端分别与总控制模块[5]连接。键盘[18]通过装载模块[17]、存储器[13]通过总线模块[12]、通讯接口[11]通过通讯控制模块、LED显示器[9]通过显示控制模块[8]与总控制模块[5]分别连接;计算模块[7]、时钟[14]、复位开关[15]与总控制模块[5]分别连接。本装置具有精度高、升级方便,抗干扰性强,适用于各种恶劣环境的特点。

【技术实现步骤摘要】

本专利技术属于工业大型吊车吊钩位置测量装置。尤其涉及一种基于FPGA的工业大型吊车吊钩位置测量装置
技术介绍
随着工业化进程的发展,工业大型吊车越来越广泛地应用于石油、冶金等重型工业生产中。在大型工业吊车运行中由于吊车司机位置往往离地面很高(一般为20米到50米),司机很难能准确判断出吊钩的准确位置,只能完全依靠地面人员的指挥,效率低下,而且生产安全完全由地面指挥人员负责,发生事故的概率较高。一种“自动计数测长器”(CN92201010.2),提出了机械式的自动计数测量方法,但是该方法有明显缺陷首先,该方法要求被测物体与测量装置保持无滑动的滚动,在工业现场较难严格保证;其次,由于该装置的设计限制了不能在大范围内对被测物体进行测量;再次,该装置为机械结构,不能对测量进行误差补偿,并且不能应用在高粉尘、工作条件恶劣的工业现场;另外,该装置在实现过程中没有考虑实际生产中对装置进行监测的问题,没有设计人机界面和与上位机通讯的模块。
技术实现思路
本专利技术的目的是提供一种精度高、体积小、成本低、通用性好、抗干扰能力强、升级方便、适用于各种工业恶劣环境、能实现与上位机通讯、可对被测吊钩位置进行实时跟踪的工业大型吊车吊钩位置测量装置。为实现上述测量目的,本专利技术所采用的技术方案是将编码器和数据采集器分别与光电隔离模块连接,光电隔离模块与信号滤波模块和总控制模块分别连接,信号滤波模块与相位方向识别模块连接,相位方向识别模块的一端与双向计数器模块的一端连接,相位方向识别模块的另一端和双向计数器模块的另一端分别与总控制模块连接。键盘通过装载模块与总控制模块连接,存储器通过总线模块与总控制模块连接,通讯接口通过通讯控制模块与总控制模块连接,LED显示器通过显示控制模块与总控制模块连接;计算模块、时钟、复位开关与总控制模块分别连接。信号滤波模块、相位方向识别模块、总控制模块、双向计数器模块、计算模块、显示控制模块、通讯控制模块、总线模块和装载模块用硬件描述语言VHDL编程并被集成到一片FPGA中。其中所述的总控制模块由总控制器分频模块、微处理器模块和总控制器总线模块组成,微处理器模块一端与总控制器总线模块连接、另一端与总控制器分频模块连接。在总控制模块中总控制器总线模块与光电隔离模块、复位开关、装载模块、相位方向识别模块、时钟分别连接;总控制器总线模块与显示控制模块、通讯控制模块、总线模块的一端分别连接,总控制器分频模块与显示控制模块、通讯控制模块、总线模块的另一端分别连接;总控制器总线模块的输入端DcoutIn0~DcoutIn15与双向计数器模块的输出端D0~D15连接;总控制器总线模块的输入端DIn0~DIn13与计算模块的输出端DoutCal0~DoutCal13连接,总控制器总线模块的输出端DoneOut0~DoneOut7、LoadDataOut0~LoadDataOut15与计算模块的输入端DoneCal0~DoneCal7、LoadDataCal0~LoadDataCal15连接。所述的信号滤波模块由分频器、比较器和算法计算器组成,分频器的输出端Clk与比较器的输入端Clkin连接,比较器的输出端SingalOut1~SingalOut3与算法计算器的输入端Din1~Din3连接;光电隔离模块的输出端SingalA、SingalB和SingalZ与比较器的输入端SingalIn1、SingalIn2和SingalIn3连接,算法计算器的输出端Dout1~Dout3与相位方向识别模块的输入端DphaseIn1~DphaseIn3连接。所述的相位方向识别模块由触发器和方向识别控制器组成,触发器的输出端DphaseOut1~DphaseOut3与方向识别控制器的输入端Din1~Din3连接;触发器的输入端与信号滤波模块的输出端连接,触发器的输入端En与总控制器总线模块的输出端Entirger连接,方向识别控制器的输出端Dphase1、Dphase2与双向计数器模块的输入端Up、Down和总控制器总线模块的输入端Din1、Din2分别连接,方向识别控制器的输入端En与总控制器总线模块的输出端Endir连接。所述的显示控制模块由显示译码器和显示驱动器组成,显示译码器的输出端X0~X13与显示驱动器的输入端XL0~XL13端连接;显示驱动器的输出端XA0~XA7、XB0~XB7、XC0~XC7、XD0~XD7与LED显示器的输入端DispA0~DispA7、DispB0~DispB7、DispC0~DispC7、DispD0~DispD7连接,显示译码器的输入端In0~In31、读写信号端Tw、Rw与总控制器总线模块的输出端Ddisp0~Ddisp31、读写信号端Xtw、Xrw连接,显示译码器的输入端Clkin与控制器分频模块的输出端Dispclk连接。所述的通讯控制模块由波特率发尘模块、发送模块和接收模块组成,波特率发生模块的输出端Bdout分别与接收模块的波特率输入端Bdrxd和发送模块的波特率输入端Bdtxd连接;接收模块的输入端Rxd和发送模块的输出端Txd分别与通讯接口的输出端Xrxd和输入端Xtxd连接,接收模块的输出端Dout0~Dout7与总控制器总线模块的输入端Duart0~Duart7连接,发送模块的输入端与总控制器总线模块的输出端Duart8~Duart15连接,波特率发生模块的输入端Clkin与总控制器分频模块的输出端Uartclk连接。所述的总线模块由时序生成模块和逻辑控制模块组成,逻辑控制模块的输出端Dlout0、Dlout1与时序生成模块的输入端Dl0、Dl1连接;时序生成模块的输出端Scl、双向端Sda与存储模块的输入端Scleep、双向端Sdaeep连接,时序生成器的双向端Bidriect0、Bidriect1与总控制器总线模块的双向端Bidriectcon0、Bidriectcon1连接,逻辑控制模块的输入端Dlin0、Dlin1与总控制器总线模块的输出端Dconl0、Dconl1连接,时序生成器的输入端Clkin与总控制器分频模块的输出端Clk连接。所述的装载模块由数据转换模块和数据运算模块连接组成,数据转换模块的输入端Dcov0~Dcov7与键盘的输出端Dkey0~Dkey7连接,数据运算模块的输入端Func0、Func1与总控制器总线模块的输出端FuncIn0、FuncIn1连接,数据运算模块的输出端Done0~Done7和Loadata0~Loadata15与总控制器总线模块的输入端Donein0~Donein7和Loadatain0~Loadatain15连接。由于采用上述技术方案,本专利技术具有测量精度高、开发成本低、开发周期短、产品生命周期长、升级方便、抗干扰性强、适用于各种工业恶劣环境的特点,并能实现与上位机通讯,可对被测吊钩位置进行实时跟踪。本装置由于将各个模块集成到一块FPGA芯片中,因而体积小、重量轻、功耗低。四附图说明图1是本专利技术的一种总体结构示意框图;图2是图1中的总控制模块的工作示意框图;图3是图1中的信号滤波模块的工作示意框图;图4是图1中的相位方向识别模块的工作示意框图;图5是图1中的显示控制模块的工作示意框图; 图6是图1中的通讯控制模块的工作示本文档来自技高网...

【技术保护点】
一种基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于将编码器[1]和数据采集器[19]分别与光电隔离模块[2]连接,光电隔离模块[2]与信号滤波模块[3]和总控制模块[5]分别连接,信号滤波模块[3]与相位方向识别模块[4]连接,相位方向识别模块[4]的一端与双向计数器模块[6]的一端连接,相位方向识别模块[4]的另一端和双向计数器模块[6]的另一端分别与总控制模块[5]连接;键盘[18]通过装载模块[17]与总控制模块[5]连接,存储器[13]通过总线模块[12]与总控制模块[5]连接,通讯接口[11]通过通讯控制模块[10]与总控制模块[5]连接,LED显示器[9]通过显示控制模块[8]与总控制模块[5]连接;计算模块[7]、时钟[14]、复位开关[15]与总控制模块[5]分别连接;其中,信号 滤波模块[3]、相位方向识别模块[4]、总控制模块[5]、双向计数器模块[6]、计算模块[7]、显示控制模块[8]、通讯控制模块[10]、总线模块[12]和装载模块[17]用硬件描述语言VHDL编程并被集成到一片FPGA[16]中。

【技术特征摘要】

【专利技术属性】
技术研发人员:方康玲黄睿陈国年
申请(专利权)人:武汉科技大学
类型:发明
国别省市:83[中国|武汉]

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1