设计集成电路的计算机实现的方法和计算系统技术方案

技术编号:20026006 阅读:45 留言:0更新日期:2019-01-06 04:49
提供了一种用于设计集成电路的计算机实现的方法和计算系统。设计集成电路的计算机实现的方法包括:接收关于集成电路的布局数据和包括在集成电路中包含的多个层中的每一个的寄生分量的角的技术文件;通过对集成电路的网上的时序弧中的层的寄生分量的角执行寄生分量提取操作,生成寄生分量数据,所述寄生分量数据包括时序弧的延迟变化数据;并且通过基于寄生分量数据对集成电路执行时序分析来生成时序分析数据。

【技术实现步骤摘要】
设计集成电路的计算机实现的方法和计算系统相关申请的交叉引用本申请要求于2017年6月15日向韩国知识产权局提交的韩国专利申请第10-2017-0076012号的优先权以及权益,其全部内容通过引用并入本文。
本专利技术构思的实施例针对集成电路,并且更具体地涉及用于通过考虑线的工艺变异(processvariation)来设计集成电路的计算机实现的方法和计算系统。
技术介绍
集成电路可以基于标准单元来设计。具体而言,集成电路的布局可以通过放置定义集成电路的标准单元并路由(route)放置的标准单元来生成。随着半导体器件的小型化,布局中包括的图案的尺寸逐渐减小,并且因此设计图案的尺寸与通过硬件实现的图案的尺寸之间的微小差异导致集成电路的成品率劣化。线工艺变异包括金属层或通孔中的电阻/电容变化。当金属层的电阻大于标称值时,时钟延迟可能增加,并且因此可能发生时序违规(timingviolation)。传统的寄生分量描述文件包括每个节点的电阻灵敏度和电容灵敏度。结果,寄生分量描述文件的大小非常大,并且在时序分析操作中,计算所需的复杂度和时间可能大大增加。具体而言,由于与线后端(back-end-of-line,BEOL)相对应的线工艺变异,通过包括线的时序路径的延迟可能增加,并且因此在时序路径中可能发生时序约束违规。
技术实现思路
根据本专利技术构思的实施例,提供了一种设计集成电路的计算机实现的方法,所述计算机实现的方法包括:接收所述集成电路的布局数据和包括在所述集成电路中包含的多个层中的每一个的寄生分量的角(corner)的技术文件;通过对集成电路的网上的时序弧(timingarc)中的层的寄生分量的角执行寄生分量提取操作来生成寄生分量数据,所述寄生分量数据包括由于层的工艺变异而导致的时序弧的延迟变化数据层;以及通过基于寄生分量数据对集成电路执行时序分析来生成时序分析数据。根据本专利技术构思的另一实施例,提供了一种制造集成电路的方法,所述方法包括:通过使用集成电路的布局数据和包括集成电路中的每个层的寄生分量的角的技术文件,对集成电路的网上的时序弧中的层的寄生分量的角执行寄生分量提取操作,来生成寄生分量数据,其中,所述寄生分量数据包括由于时序弧的层的工艺变异而导致的时序弧的延迟变化数据;通过基于寄生分量数据对集成电路执行时序分析来生成时序分析数据;以及基于布局数据和时序分析数据来制造集成电路。根据本专利技术构思的另一实施例,提供了一种用于设计集成电路的计算系统,所述计算系统包括:存储器,存储设计集成电路的过程;以及处理器,连接到存储器并执行该过程,其中所述集成电路的网对应于多个时序弧,并且所述多个时序弧中的每一个包括多个层,其中所述过程包括:寄生分量提取器,通过对于每个时序弧的第i层的每个角执行寄生分量提取操作来生成寄生分量数据,所述寄生分量数据包括当第i层的寄生分量移位到第j角时的线延迟灵敏度系数,其中i和j是自然数;以及时序分析器,通过基于寄生分量数据对集成电路执行时序分析来生成时序分析数据。附图说明图1是根据实施例的设计集成电路的方法的流程图。图2示出了根据实施例的集成电路的示例。图3示出了根据实施例的第i金属层的工艺变异。图4是图3中的第i金属层的寄生电阻和寄生电容的分布图。图5是根据实施例的更详细地设计集成电路的方法的流程图。图6是根据实施例的提取寄生分量的方法的流程图。图7A示出了根据实施例的集成电路。图7B示出了图7A中的第一时序弧的布线结构。图7C示出了图7A中的第二时序弧的布线结构。图8A和图8B示出了根据实施例的技术文件。图9示出了根据实施例的表征线延迟灵敏度系数的操作。图10A和图10B示出了表征图9中的线延迟灵敏度系数的操作。图11示出了根据实施例的表征电容灵敏度系数的操作。图12示出了根据实施例的寄生分量描述文件。图13示出了根据实施例的寄生分量描述文件。图14是根据实施例的执行时序分析的方法的流程图。图15示出了根据实施例的集成电路。图16是根据实施例的执行时序分析的方法的流程图。图17示出了图16的时序分析方法的示例。图18是根据实施例的执行时序分析的方法的流程图。图19是根据实施例的用于设计集成电路的计算系统的框图。图20示出了根据实施例的存储在图19的存储器中的程序的示例。图21是根据实施例的制造半导体器件的方法的流程图。图22示出了根据实施例的计算机可读存储介质。具体实施方式图1是根据实施例的设计集成电路的方法的流程图。参考图1,为了设计集成电路布局,可以使用用于设计集成电路的工具来执行设计集成电路的方法。在这种情况下,根据实施例,用于设计集成电路的工具是包括由处理器执行的多个指令的程序。因此,设计集成电路的方法可以被称为设计集成电路的计算机实现的方法。根据实施例,在操作S110中,执行综合操作。例如,操作S110由处理器使用综合工具来执行。具体而言,通过使用标准单元库将由寄存器传送语言(registertransferlanguage,RTL)定义的输入数据相对于集成电路进行综合来生成门级网表(netlistofgatelevel)。根据实施例,在操作S130中,用于集成电路的布局数据——以下称为“布局数据——通过放置和路由——以下称为“P&R”——标准单元来生成,所述标准单元基于网表定义来集成电路。例如,操作S130由处理器使用P&R工具执行。例如,布局数据可以是图形设计系统(graphicdesignsystem,GDS)II格式数据。根据实施例,在操作S150中,通过从布局数据中提取寄生分量来生成寄生分量描述文件。例如,操作S150由处理器使用寄生提取(parasiticextract,PEX)工具执行。对在包含在布局数据中的网上的时序弧中包括的层的多个角提取寄生分量。在下文中,术语“层”对应于线,并且可以指金属层或通孔。寄生分量描述文件被生成为标准寄生参数提取格式(standardparasiticextractionformat,SPEF)文件。布局数据作为输入文件被提供给PEX工具,并且SPEF文件作为输出文件从P&R工具输出。将参考图2至图13更详细地描述操作S150。根据实施例,在操作S170中,通过执行集成电路的时序分析来生成时序分析数据。例如,操作S170由处理器使用静态时序分析(statictiminganalysis,STA)工具来执行。术语“时序分析”是指确定在集成电路中包括的时序路径是否满足时序约束、并且根据确定结果从时序路径中选择其中从输入(即,起点)到输出(即,结束点)的总时序延迟超过时序要求的时序关键路径(timingcriticalpath)的操作。例如,时序约束包括设置时序约束(setuptimingconstraints和保持时序约束(holdtimingconstraints)。根据实施例,寄生分量描述文件作为输入文件被提供给STA工具,并且时序分析数据作为输出文件从STA工具输出。将参考图14至图18更详细地描述操作S170。在实施例中,设计方法还包括基于时序分析数据执行工程变更单(engineeringchangeorder,ECO)的操作。设计方法还包括使用时序分析数据执行在P&R操作中包括的时钟树综合本文档来自技高网...

【技术保护点】
1.一种设计集成电路的计算机实现的方法,所述计算机实现的方法包括:接收关于所述集成电路的布局数据和包括在所述集成电路中包含的多个层中的每一个的寄生分量的角的技术文件;通过对集成电路的网上的时序弧中的层的寄生分量的角执行寄生分量提取操作来生成寄生分量数据,所述寄生分量数据包括由于层的工艺变异而导致的时序弧的延迟变化数据;以及通过基于寄生分量数据对集成电路进行时序分析来生成时序分析数据。

【技术特征摘要】
2017.06.15 KR 10-2017-00760121.一种设计集成电路的计算机实现的方法,所述计算机实现的方法包括:接收关于所述集成电路的布局数据和包括在所述集成电路中包含的多个层中的每一个的寄生分量的角的技术文件;通过对集成电路的网上的时序弧中的层的寄生分量的角执行寄生分量提取操作来生成寄生分量数据,所述寄生分量数据包括由于层的工艺变异而导致的时序弧的延迟变化数据;以及通过基于寄生分量数据对集成电路进行时序分析来生成时序分析数据。2.根据权利要求1所述的计算机实现的方法,其中,所述延迟变化数据包括线延迟灵敏度系数或随机线延迟灵敏度系数。3.根据权利要求2所述的计算机实现的方法,其中,当所述时序弧的层的寄生分量移位到角时,生成所述寄生分量数据包括:基于当所述层的寄生分量是角时的角线延迟,并基于对应于标称角的所述时序弧的层的标称线延迟,生成线延迟灵敏度系数。4.根据权利要求2所述的计算机实现的方法,其中,所述时序弧包括第一层和第二层,并且当时序弧的层的寄生分量移位到角时,生成寄生分量数据包括:基于当所述第一层的寄生分量是角并且所述第二层的寄生分量是标称角时的第一角线延迟、并基于当第一层和第二层的寄生分量是标称角时的标称线延迟,生成所述第一层的第一线延迟灵敏度系数;以及基于当所述第二层的寄生分量是角并且所述第一层的寄生分量是标称角时的第二角线延迟、并基于当第一层和第二层的寄生分量是标称角时的所述标称线延迟,生成所述第二层的第二线延迟灵敏度系数。5.根据权利要求4所述的计算机实现的方法,其中,生成所述第一线延迟灵敏度度系数包括:通过从第一角线延迟中减去标称线延迟来计算延迟差;以及根据延迟差与标称线延迟的比率来计算第一线延迟灵敏度系数。6.根据权利要求2所述的计算机实现的方法,其中,生成所述时序分析数据包括:基于所述线延迟灵敏度系数来计算关于所述时序弧的线延迟灵敏度;以及通过经由时序路径传播线延迟灵敏度来计算包括时序弧的时序路径的松弛。7.根据权利要求2所述的计算机实现的方法,其中,所述寄生分量数据还包括所述网的电容灵敏度系数。8.根据权利要求7所述的计算机实现的方法,其中,当所述时序弧的层的寄生分量移位到角时,生成所述寄生分量数据包括:基于当层的寄生分量是角时的角电容并且基于对应于标称角的时序弧的层的标称电容,生成电容灵敏度系数。9.根据权利要求7所述的计算机实现的方法,其中,所述网包括第一层和第二层,并且当网的层的寄生分量移位到角时,生成寄生分量数据包括:基于当所述第一层的寄生分量是角并且所述第二层的寄生分量是标称角时的第一角电容、并基于当所述第一层和第二层的寄生分量是标称角时的标称电容,生成所述第一层的第一电容灵敏度系数;以及基于当所述第二层的寄生分量是角并且所述第一层的寄生分量是标称角时的第二角电容、并基于当所述第一层和第二层的寄生分量是标称角时的标称电容,生成所述第二层的第二电容灵敏度系数。10.根据权利要求9所述的计算机实现的方法,其中,生成所述第一电容灵敏度系数包括:通过从所述第一角电容中减去所述标称电容来计算电容差;以及根据电容差与标称电容的比率来计算第一电容灵敏度系数。11.根据权利要求7所述的计算机实现的方法,其中,生成所述时序分...

【专利技术属性】
技术研发人员:金汶洙
申请(专利权)人:三星电子株式会社
类型:发明
国别省市:韩国,KR

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1