用于半导体衬底热控制的缓冲站及传送半导体衬底的方法技术

技术编号:34837358 阅读:12 留言:0更新日期:2022-09-08 07:32
本发明专利技术总体上涉及用于半导体衬底热控制的缓冲站及传送半导体衬底的方法。一种用于在半导体衬底处理系统内热控制半导体衬底的缓冲站配置成与该系统的第一和第二真空传送模块连接,从而允许衬底在它们之间传送。缓冲站包括:第一真空传送模块接口,其配置成允许衬底在第一真空传送模块和缓冲站之间被传送;以及第二传送模块接口,其配置成允许衬底在第二真空传送模块和缓冲站之间被传送。在第一和第二真空传送模块接口之间的至少一个缓冲室包括下基座,该下基座配置成在其支撑表面上接收衬底。一个或多个半导体衬底存储支架位于下基座的上方。每个支架配置成从第一或第二真空传送模块接收衬底并在其上存储相应的衬底。送模块接收衬底并在其上存储相应的衬底。

【技术实现步骤摘要】
用于半导体衬底热控制的缓冲站及传送半导体衬底的方法
本申请是申请号为201510696876.9,申请日为2015年10月23日,申请人为朗姆研究公司,专利技术创造名称为“用于半导体衬底热控制的缓冲站及传送半导体衬底的方法”的专利技术专利申请的分案申请。


[0001]本专利技术涉及缓冲站,更具体而言,涉及用于在模块化半导体衬底处理系统的真空传送模块之间穿过其中进行传送的半导体衬底的热控制的缓冲站。

技术介绍

[0002]不同类型的工具用于在半导体衬底处理系统内在半导体衬底(即晶片)处理期间执行数百个处理操作。这些操作中的大多数是在半导体衬底处理系统的真空室(即,处理室)内在非常低的压力下进行。其他操作是在过滤空气或惰性气体的受控环境内在大气压下进行。半导体衬底被利用半导体衬底处理系统引入到处理室,该半导体衬底处理系统被机械地耦合到相应的处理室。半导体衬底处理系统从工厂地面传送衬底到处理室。
[0003]半导体衬底处理系统可以包括例如设备前端模块(EFEM)、装载锁以及真空传送模块,该设备前端模块能操作以从正面开口标准箱(FOUP)传送衬底到半导体衬底处理系统用于处理并且将衬底带回到FOUP,所述装载锁将半导体衬底从大气条件带至非常低的压力条件下(例如,真空条件)并返回到大气条件,所述真空传送模块能操作以传送半导体衬底往来于半导体衬底装载锁和半导体衬底处理模块,该半导体衬底处理模块与真空传送模块成操作关系。半导体衬底处理系统可包括机械手以将衬底传送到半导体衬底处理系统中的不同位置。吞吐量(即,在一时间段内处理的衬底数量)是受以下影响:衬底处理时间、在给定时间进行处理的衬底数量以及引入衬底进入真空室内的步骤时序。因此,需要增加吞吐量的改进的方法和装置。

技术实现思路

[0004]本专利技术公开了一种用于热控制模块化半导体衬底处理系统内的穿过其内被传送的半导体衬底的缓冲站。所述缓冲站配置成与所述模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块连接,从而允许半导体衬底在它们之间被传送。所述缓冲站包括:第一真空传送模块接口,其配置成允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送。第二真空传送模块接口配置成允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送。在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室包括:下基座,其配置成从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上。所述下基座能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作。在所述下基座上方的一个或多个半导体衬底存储支架各自配置成从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应
的半导体衬底。
[0005]本专利技术还公开了一种包括用于热控制穿过其内传送的半导体衬底的缓冲站的模块化半导体衬底处理系统。所述模块化半导体衬底处理系统包括:第一真空传送模块;第二真空传送模块;以及缓冲站,其与所述第一真空传送模块和所述第二真空传送模块连接,从而允许半导体衬底在它们之间被传送。所述缓冲站包括:第一真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;以及第二真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送。在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室包括:下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上。所述下基座能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作。在所述下基座上方的一个或多个半导体衬底存储支架各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底。
[0006]一种通过缓冲站在模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块之间传送半导体衬底的方法。所述方法包括:通过第一真空传送模块接口从第一真空传送模块传送半导体衬底到所述缓冲站的第一缓冲室内。将所述半导体衬底支撑在所述第一缓冲室内配置的下基座的支撑表面上,其中在支撑在所述下基座的所述支撑表面上的半导体衬底上执行加热或冷却操作。通过所述缓冲站的第二真空传送模块接口从所述第一缓冲室的所述下基座传送所述半导体衬底到第二真空传送模块。
附图说明
[0007]图1A和1B示出了根据本文所公开的实施例的缓冲站的横截面。
[0008]图2示出了根据本文所公开的实施例的包括缓冲站的模块化半导体衬底处理系统的方块图。
[0009]图3示出了根据本文所公开的实施例的包括缓冲站的模块化半导体衬底处理系统的方块图。
[0010]图4A

G示出了根据本文所公开的实施例的模块化半导体衬底处理系统的构造。
具体实施方式
[0011]在下面的详细描述中,阐述了示范性实施例,以便提供对本文所公开的设备和方法的理解。然而,如对于本领域技术人员将显而易见的是,所述示范性实施例可以在没有这些特定细节的情况下或可以通过使用替代元件或处理来进行实施。在其他情况下,公知的处理、步骤、和/或组件没有被详细描述,以免不必要地模糊本文所公开的实施例的方面。
[0012]图1A和1B各自示出了根据本文所公开的实施例的缓冲站100的横截面,该缓冲站100用于在诸如真空传送模块之类的半导体衬底处理系统之间穿过其中的半导体衬底的热控制。该缓冲站100包括:第一真空传送模块接口110,其可操作以允许一个或多个半导体衬底穿过其内被传送;以及第二真空传送模块接口120,其可操作以允许一个或多个半导体衬底穿过其内被传送。缓冲站包括至少一个缓冲室,例如介于第一传送模块接口110和第二传送模块接口120之间的缓冲室130。缓冲室130包括下基座140,该下基座140可操作以在其支
撑表面141上接收半导体衬底。该下基座140可操作以加热或冷却支撑在其支撑表面141上的半导体衬底。该支撑表面141限定缓冲室130的下表面,其中盖170的下表面可限定缓冲室130的上表面。
[0013]缓冲室130可包括位于下基座140的上方的一个或多个半导体衬底存储支架150,其中每个半导体衬底存储支架150可操作以在其上存储相应的半导体衬底。例如,如图1A所示,缓冲室130包括三个半导体衬底存储支架150。在可选实施例中,缓冲室130可包括2个、4个、5个或更多个半导体衬底存储支架150。优选地,如图1B所示,缓冲室130包括介于下基座140和一个或多个半导体衬底存储支架150之间的隔热板160。隔热板160可由绝热材料制成并且可操作以减少支撑在下基座140上的半导体衬底与支撑在相应的一个或多个半导体衬底存储支架150上的一个或多个衬底之间的热传输。
[0014]参考图2,缓冲站100优选地与两个或更多个半导体衬底处理系统成操作关本文档来自技高网
...

【技术保护点】

【技术特征摘要】
1.一种用于热控制模块化半导体衬底处理系统内的穿过其内被传送的半导体衬底的缓冲站,所述缓冲站配置成与所述模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块连接,从而允许半导体衬底在它们之间被传送,所述缓冲站包括:第一真空传送模块接口,其配置成允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;第二真空传送模块接口,其配置成允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送;以及在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,所述缓冲站被配置成与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递。2.根据权利要求1所述的缓冲站,其中所述下基座包括加热层,所述加热层包括独立可控的加热区域,所述加热区域能操作以独立控制和调节所述下基座的所述支撑表面的空间上的和时间上的温度;和/或所述下基座包括冷却层,所述冷却层能操作以降低所述下基座的温度。3.根据权利要求1所述的缓冲站,其中所述至少一个缓冲室包括在所述下基座和所述一个或多个半导体衬底存储支架之间的隔热板,其中所述隔热板能操作以减少在处理期间在支撑于所述下基座上的半导体衬底与支撑在相应的一个或多个半导体衬底存储支架上的一个或多个衬底之间的热传输。4.根据权利要求1所述的缓冲站,其中至少以下之一:(a)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室配置成允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,所述第二缓冲室配置成允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块;和(b)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室配置成允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,所述第二缓冲室配置成允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块,其中所述缓冲站包括驱动器,所述驱动器能操作以降低所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第一缓冲室被传送,以及以升高所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第二缓冲室被传送。5.根据权利要求1所述的缓冲站,其中至少以下之一:(a)所述缓冲站配置成与真空泵流体连接,所述真空泵能操作以控制所述至少一个缓冲室内的压强;
(b)所述第一真空传送模块接口包括能操作以密封所述第一真空传送模块接口的第一端口的第一缝阀,所述第一缝阀配置成将所述至少一个缓冲室的环境与所述第一真空传送模块的真空环境隔离;(c)所述第二真空传送模块接口包括能操作以密封所述第二真空传送模块接口的第一端口的第二缝阀,所述第二缝阀配置成将所述至少一个缓冲室的环境与所述第二真空传送模块的真空环境隔离;(d)所述缓冲站包括配置成将所述第一真空传送模块接口的第一端口与所述第一真空传送模块的相应端口对准的对准销;和(e)所述缓冲站包括配置成将所述第二真空传送模块接口的第一端口与所述第二真空传送模块的相应端口对准的对准销。6.根据权利要求1所述的缓冲站,其中所述下基座包括至少以下之:(a)在所述支撑表面的至少一个出口,其输送热传输气体到支撑在其上的半导体衬底的下侧;以及至少一个气体通道,其延伸通过连接到热传输气体源的所述下基座,所述热传输气体源能操作以在期望压强下供应热传输气体到所述至少一个气体通道;(b)单极或双极静电夹持电极,其能操作以在静电夹持电压被施加到所述单极或双极静电夹持电极上时,施加静电夹持力到所述支撑表面上的半导体衬底;和(c)升降杆,其能操作以降低半导体衬底到所述下基座的所述支撑表面上并且以从所述下基座的所述支撑表面升高所述半导体衬底。7.一种包括用于热控制穿过其内传送的半导体衬底的缓冲站的模块化半导体衬底处理系统,所述模块化半导体衬底处理系统包括:第一真空传送模块;第二真空传送模块;以及缓冲站,其与所述第一真空传送模块和所述第二真空传送模块连接,从而允许半导体衬底在它们之间被传送,所述缓冲站包括:第一真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;第二真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送;以及在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,所述缓冲站被配置成与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递。8.根据权利要求7所述的模块化半导体衬底处理系统,其中所述下基座包括加热层,所
述加热层包括独立可控的加热区域,所述加热区域能操作以独立控制和调节所述下基座的所述支撑表面的空间上的和时间上的温度;和/或所述下基座包括冷却层,所述冷却层能操作以降低所述下基座的温度。9.根据权利要求7所述的模块化半导体衬底处理系统,其中所述至少一个缓冲室包括在所述下基座和所述一个或多个半导体衬底存储支架之间的隔热板,其中所述隔热板能操作以减少在处理期间在支撑于所述下基座上的半导体衬底与支撑在相应的一个或多个半导体衬底存储支架上的一个或多个衬底之间的热传输。10.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:(a)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室能操作以允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,而所述第二缓冲室能操作以允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块;和(b)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室能操作以允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,而所述第二缓冲室能操作以允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块,其中所述缓冲站包括驱动器,所述驱动器能操作以降低所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第一缓冲室被传送,以及以升高所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第二缓冲室被传送。11.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:(a)所述缓冲站与真空泵流体连接,所述真空泵能操作以控制所述至少一个缓冲室内的压强;(b)所述第一真空传送模块接口包括能操作以密封所述第一真空传送模块接口的第一端口的第一缝阀,所述第一缝阀能操作以将所述至少一个缓冲室的环境与所述第一真空传送模块的真空环境隔离;(c)所述第二真空传送模块接口包括能操作以密封所述第二真空传送模块接口的第一端口的第二缝阀,所述第二缝阀能操作以将所述至少一个缓冲室的环境与所述第二真空传送模块的真空环境隔离;(d)所述缓冲站包括能操作以将所述第一真空传送模块接口的第一端口与所述第一真空传送模块的相应端口对准的对准销;(e)所述缓冲站包括能操作以将所述第二真空传送模块接口的第一端口与所述第二真空传送模块的相应端口对准的对准销;(f)所述模块化半导体衬底处理系统进一步包括控制器,其配置成控制由所述系统执行的处理;和/或(g)所述模块化半导体衬底处理系统进一步包括非暂时性计算机机器可读介质,其包括用于控制所述系统的程序指令。12.根据权利要求7所述的模块化半导体衬底处理系统,其中所述下基座包括至少以下之一:(a)在所述支撑表面的至少一个出口,其输送热传输气体到支撑在其上的半导体衬底的下侧;以及至少一个气体通道,其延伸通过连接到热传输气体源的所述下基座,所述热传
输气体源能操作以在期望压强下供应热传输气体到所述至少一个气体通道;(b)单极或双极静电夹持电极,其能操作以在静电夹持电压被施加到所述单极或双极静电夹持电极上时,施加静电夹持力到所述支撑表面上的半导体衬底;和(c)升降杆,其能操作以降低半导体衬底到所述下基座的所述支撑表面上以及以从所述下基座的所述支撑表面升高所述半导体衬底。13.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:(a)所述第一真空传送模块与设备前端模块(EFEM)成操作关系,所述EFEM能操作以在大气压下从正面开口标准箱(FOUP)接收半导体衬底并且将所述半导体衬底传送到所述第一真空传送模块的真空环境;(b)所述第一真空传送模块与一个或多个半导体衬底处理模块成操作关系,所述一个或多个半导体衬底处理模块能操作以在半导体衬底上执行一个或多个处理操作;(c)所述第二真空传送模块与一个或多个半导体衬底处理模块成操作关系,所述一个或多个半导体衬底处理模块能操作以在半导体衬底上执行一个或多个处理操作;(d)所述第一真空传送模块包括在其真空环境内的机械手,所述机械手能操作以通过所述第一真空传送模块接口传送半导体衬底;和(e)所述第二真空传送模块包括在其真空环境内的机械手,所述机械手能操作以通过所述第二真空传送模块接口传送半导体衬底。14.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:(a)所述缓冲站由所述第一真空传送模块和所述第二真空传送模块的框架支撑;和(b)所述缓冲站悬挂在所述第一真空传送模块和所述第二真空传送模块之间。15.一种包括三个或更多个真空传送模块的模块化半导体衬底处理系统,每个真空传送模块通过根据权利要求1所述的缓冲站与至少一个其它真空传送模块成操作关系。16.根据权利要求15所述的模块化半导体衬底处理系统,其中至少以下之一:(a)所述真空传送模块中的至少一个与EFEM成操作关系,所述EFEM能操作以在大气压下从正面开口标准箱(FOUP)接收半导体衬底并且将所述半导体衬底传送到其真空环境;和(b)每个真空传送模块与一个或多个半导体衬底处理模块成操作关系,所述一个或多个半导体衬底处理模块能操作以在半导体衬底上执行一个或多个处理操作。17.一种通过缓冲站在模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块之间传送半导体衬底的方法,所述方法包括:通过第一真空传送模块接口从第一真空传送模块传送半导体衬底到所述缓冲站的第一缓冲室内;将所述半导体衬底支撑在所述第一缓冲室内配置的下基座的支撑表面上并形成所述缓冲室的底部最内表面;在支撑在所述下基座的所述支撑表面上的半导体衬底上执行加热或冷却操作,其中所述缓冲站被配置成与惰性气体供给装置流体连通以将惰性气体供给到所述第一缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递;通过所述缓冲站的第二真空传送模块接口从所述第一缓冲室的所述下基座传送所述半导体衬底到第二真空传送模块;以及在所述半导体衬底被支撑在所述缓冲室的所述下基座的所述支撑表面上之前,将所述
半导体衬底存储在所述第一缓冲室内的半导体衬底存储支架上,所述半导体衬底存储支架位于下基座上方并从第一缓冲室的侧壁向外延伸。18.如权利要求17所述的方法,还包括以下至少一项:(a)在所述加热和冷却操作期间,增大所述第一缓冲室内的压强从而增...

【专利技术属性】
技术研发人员:凯斯
申请(专利权)人:朗姆研究公司
类型:发明
国别省市:

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1