集成电路设计的RTL阶段期间的时钟门控验证制造技术

技术编号:18204378 阅读:44 留言:0更新日期:2018-06-13 06:23
本文所提供的实施例包括一种用于在寄存器传递级RTL电路设计阶段期间的时钟门控验证的方法,该方法包括:获得根据时钟门控格式在时钟门控CG规格中定义的时钟门控信息,其中时钟门控信息描述由集成电路设计利用的至少第一门控时钟信号的目标时钟门控行为,CG规格包括模板结构和顶部映射,该模板结构基于启用条件来定义输出门控时钟与输入时钟之间的关系,该顶部映射将集成电路设计的包括第一门控时钟的顶级信号关联到模板结构;以及自动产生第一时钟门控CG检查器,以基于在集成电路设计的测试期间的预期输出时间和预期门控时间来验证时钟门控行为。

【技术实现步骤摘要】
集成电路设计的RTL阶段期间的时钟门控验证
本公开大体上涉及时钟门控分析,且更具体而言涉及集成电路设计的时钟门控验证。
技术介绍
现代的数字集成电路(IC)设计经常需要提供高速操作同时还具有低功耗。为了提供此类相反需求,实施技术例如时钟门控以减小数字IC装置的动态功耗。时钟门控对IC设计的同步电路添加逻辑部件以“修剪”时钟树。此类修剪禁用各种电路系统(例如电路时钟树、硬件寄存器和其它时钟相关装置)的冗余硬件转换。当未转换或切换时,被禁用的硬件的动态功耗转至0并且只引发泄漏电流。寄存器传递级(RTL)是按照硬件寄存器之间的数字信号(例如数据)的流动和对那些信号执行的逻辑运算来模拟同步数字IC设计的设计抽象。RTL抽象在硬件描述语言(HDL)中用于创建IC的高级表示,从该高级表示可以导出较低级表示(例如晶体管级或门级表示)并且最终导出实际硅的表示。在RTL阶段的设计是现代数字IC设计中的常规实践。同步随机电路包括两种元件:寄存器和组合逻辑。寄存器(例如实施为D型触发器)使电路的操作与时钟信号的边沿同步,并且是电路中仅有的具有存储器特性的元件。组合逻辑执行电路中的所有逻辑功能,并且通常仅包括逻辑门(例如“与”门、“与非”门、“或”门、“或非”门等)。在HDL中,设计者声明寄存器并且通过使用编程语言中流行的构建体(例如if-then-else语句和算术运算)来描述组合逻辑。一般而言,RTL集中于描述在寄存器之间的信号的流动。
技术实现思路
在本公开的一个实施例中,提供一种用于在寄存器传递级(RTL)电路设计阶段期间的时钟门控验证的方法,该方法包括:获得根据时钟门控格式在时钟门控(CG)规格中定义的时钟门控信息,其中该时钟门控信息描述由集成电路设计利用的至少第一门控时钟信号的目标时钟门控行为,CG规格包括模板结构和顶部映射,该模板结构基于启用条件定义输出门控时钟与输入时钟之间的关系,该顶部映射包括集成电路设计的顶级信号与模板结构之间的关联,并且该顶级信号包括映射到输出门控时钟的第一门控时钟信号、映射到输入时钟的第一非门控时钟信号和映射到启用条件的第一启用信号;以及自动产生第一时钟门控(CG)检查器以验证第一门控时钟信号的时钟门控行为,其中第一CG检查器基于在CG规格中定义的时钟门控信息产生,并且第一CG检查器在集成电路设计的测试期间验证第一门控时钟信号是否在第一预期时间被输出和第一门控时钟信号是否在第二预期时间被门控。上述实施例的一个方面提供的是,自动产生第一CG检查器包括:基于在CG规格中定义的时钟门控信息自动产生启用特性,该启用特性包括:启用标准和启用行为序列,该启用标准指示响应于第一非门控时钟信号的转变边沿和第一启用信号的第一状态而输出第一门控时钟信号,该启用行为序列指示当满足启用标准时预期第一非门控时钟作为第一门控时钟信号被输出。上述实施例的另一方面提供的是,自动产生第一CG检查器包括:基于在CG规格中定义的时钟门控信息自动产生禁用特性,该禁用特性包括:禁用标准和禁用行为序列,该禁用标准指示响应于第一非门控时钟信号的转变边沿和第一启用信号的第二状态而门控第一门控时钟信号,该禁用行为序列指示当满足禁用标准时预期第一门控时钟信号被门控。上述实施例的另一方面提供的是,第一非门控时钟信号的转变边沿包括上升时钟边沿,第一启用信号的第一状态包括激活状态,并且第一启用信号的第二状态包括去激活状态。上述实施例的另一方面提供的是,自动产生第一CG检查器另外包括:自动产生用于启用特性的启用特性断言,其中启用特性断言被配置成提供对在集成电路的测试期间的启用特性断言的失效的指示;以及自动产生用于禁用特性的禁用特性断言,其中禁用特性断言被配置成提供对在集成电路的测试期间的禁用特性断言的失效的指示,其中第一CG检查器包括启用特性断言和禁用特性断言。上述实施例的另一方面提供的是,时钟门控信息另外包括被包括于启用行为序列中的接通周期时间和包括于禁用行为序列中的断开周期时间,该接通周期时间指示预期在满足启用标准之后第一非门控时钟信号何时作为第一门控时钟信号被输出,并且断开周期时间指示预期在满足禁用标准之后何时门控第一门控时钟信号。上述实施例的另一方面提供的是,接通周期时间包括第一数量的时钟周期,并且断开周期时间包括第二数量的时钟周期。上述实施例的另一方面提供的是,CG规格包括定义第二输出门控时钟与第二输入时钟之间的第二关系的第二模板结构和第二启用条件,并且顶部映射的顶级信号另外包括映射到第二输出门控时钟的第二门控时钟信号、映射到第二输入时钟的第二非门控时钟信号和映射到第二启用条件的第二启用信号;并且方法另外包括:自动产生第二CG检查器以验证第二门控时钟信号的时钟门控行为,其中第二CG检查器基于在CG规格中定义的时钟门控信息产生,并且第二CG检查器在集成电路设计的测试期间验证第二门控时钟信号是否在第三预期时间被输出和第二门控时钟信号是否在第四预期时间被门控。上述实施例的另一方面提供的是,集成电路设计包括利用第一门控时钟信号的至少一个软知识产权(IP)块。上述实施例的另一方面提供的是,集成电路设计和第一CG检查器各自用硬件描述语言(HDL)代码来实施。上述实施例的另一方面提供的是,方法另外包括:在集成电路设计的测试期间实施第一CG检查器,其中响应于第一CG检查器验证第一门控时钟的所测试时钟门控行为匹配在CG规格中描述的目标时钟门控行为,时钟门控验证是成功的,并且响应于第一CG检查器指示第一门控时钟的所测试时钟门控行为不匹配在CG规格中描述的目标时钟门控行为,时钟门控验证是不成功的。在本公开的另一个实施例中,提供一种用于在寄存器传递级(RTL)电路设计阶段期间验证时钟门控行为的方法,该方法包括:检索存储于存储装置中的时钟门控(CG)规格,其中CG规格包括黄金时钟门控关系和信号图,该黄金时钟门控关系是基于启用条件在输出时钟信号和输入时钟信号之间定义的,该信号图包括映射到输出时钟信号的第一门控时钟信号、映射到输入时钟信号的第一非门控时钟信号和映射到启用条件的第一启用信号,第一门控时钟信号、第一非门控时钟信号和第一启用信号是在集成电路设计中利用的顶级信号,并且CG规格基于第一非门控时钟信号和第一启用信号来描述第一门控时钟信号的目标时钟门控行为;以及基于CG规格自动产生第一断言检查和第二断言检查,其中在集成电路设计的测试期间,第一断言检查验证第一门控时钟信号是否在第一预期时间被输出,且在集成电路设计的测试期间,第二断言检查验证第一门控时钟信号是否在第二预期时间被门控。上述实施例的一个方面提供的是,自动产生第一断言检查和第二断言检查包括:自动产生包括以下各项的启用特性:基于第一非门控时钟信号和第一启用信号的第一状态的启用标准,和指示当预期第一非门控时钟信号作为第一门控时钟信号被输出时的第一预期时间的启用行为序列。上述实施例的另一方面提供的是,自动产生第一断言检查和第二断言检查包括:自动产生包括以下各项的禁用特性:基于第一非门控时钟信号和第一启用信号的第二状态的禁用标准,和指示当预期第一门控时钟信号被门控时的第二预期时间的禁用行为序列。上述实施例的另一方面提供的是,黄金时钟门控关系另外包括被包括于启用行为序列中的接通周期时间和包括于禁用行为序列本文档来自技高网...
集成电路设计的RTL阶段期间的时钟门控验证

【技术保护点】
一种用于在寄存器传递级RTL电路设计阶段期间的时钟门控验证的方法,其特征在于,所述方法包括:获得根据时钟门控格式在时钟门控CG规格中定义的时钟门控信息,其中所述时钟门控信息描述由集成电路设计利用的至少第一门控时钟信号的目标时钟门控行为,所述CG规格包括模板结构和顶部映射,所述模板结构基于启用条件来定义输出门控时钟与输入时钟之间的关系,所述顶部映射包括所述集成电路设计的顶级信号与所述模板结构之间的关联,以及所述顶级信号包括映射到所述输出门控时钟的所述第一门控时钟信号、映射到所述输入时钟的第一非门控时钟信号和映射到所述启用条件的第一启用信号;以及自动产生第一时钟门控CG检查器以验证所述第一门控时钟信号的时钟门控行为,其中所述第一CG检查器基于在所述CG规格中定义的所述时钟门控信息产生,以及在所述集成电路设计的测试期间,所述第一CG检查器验证所述第一门控时钟信号是否在第一预期时间被输出和所述第一门控时钟信号是否在第二预期时间被门控。

【技术特征摘要】
1.一种用于在寄存器传递级RTL电路设计阶段期间的时钟门控验证的方法,其特征在于,所述方法包括:获得根据时钟门控格式在时钟门控CG规格中定义的时钟门控信息,其中所述时钟门控信息描述由集成电路设计利用的至少第一门控时钟信号的目标时钟门控行为,所述CG规格包括模板结构和顶部映射,所述模板结构基于启用条件来定义输出门控时钟与输入时钟之间的关系,所述顶部映射包括所述集成电路设计的顶级信号与所述模板结构之间的关联,以及所述顶级信号包括映射到所述输出门控时钟的所述第一门控时钟信号、映射到所述输入时钟的第一非门控时钟信号和映射到所述启用条件的第一启用信号;以及自动产生第一时钟门控CG检查器以验证所述第一门控时钟信号的时钟门控行为,其中所述第一CG检查器基于在所述CG规格中定义的所述时钟门控信息产生,以及在所述集成电路设计的测试期间,所述第一CG检查器验证所述第一门控时钟信号是否在第一预期时间被输出和所述第一门控时钟信号是否在第二预期时间被门控。2.根据权利要求1所述的方法,其特征在于,所述自动产生所述第一CG检查器包括:基于在所述CG规格中定义的所述时钟门控信息自动产生启用特性,所述启用特性包括:启用标准,所述启用标准指示响应于所述第一非门控时钟信号的转变边沿和所述第一启用信号的第一状态而输出所述第一门控时钟信号,以及启用行为序列,所述启用行为序列指示当满足所述启用标准时,预期所述第一非门控时钟作为所述第一门控时钟信号被输出。3.根据权利要求2所述的方法,其特征在于,所述自动产生所述第一CG检查器包括:基于在所述CG规格中定义的所述时钟门控信息自动产生禁用特性,所述禁用特性包括:禁用标准,所述禁用标准指示响应于所述第一非门控时钟信号的所述转变边沿和所述第一启用信号的第二状态而门控所述第一门控时钟信号,以及禁用行为序列,所述禁用行为序列指示当满足所述禁用标准时,预期所述第一门控时钟信号被门控。4.根据权利要求3所述的方法,其特征在于,所述自动产生所述第一CG检查器另外包括:自动产生用于所述启用特性的启用特性断言,其中所述启用特性断言被配置成提供关于在所述集成电路的测试期间所述启用特性断言的失效的指示;以及自动产生用于所述禁用特性的禁用特性断言,其中所述禁用特性断言被配置成提供关于在所述集成电路的测试期间所述禁用特性断言的失效的指示,其中所述第一CG检查器包括所述启用特性断言和所述禁用特性断言。5.根据权利要求3所述的方法,其特征在于,所述时钟门控信息另外包括被包括于所述启用行为序列中的接通周期时间和包括于所述禁用行为序列中的断开周期时间,所述接通周期时间指示在满足所述启用标准之后,预期所述第一非门控时钟信号何时作为所述第一门控时钟信号被输出,以及所述断开周期时间指示在满足所述禁用标准之后,预期所述第一门控时钟信号何时被门控。6.根据权利要求1所述的方法,其特征在...

【专利技术属性】
技术研发人员:黄松刘毅峰季磊
申请(专利权)人:恩智浦美国有限公司
类型:发明
国别省市:美国,US

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1