伽罗瓦域乘法/乘法一加法乘法累加制造技术

技术编号:2921251 阅读:253 留言:0更新日期:2012-04-11 18:40
一种伽罗瓦域乘法/乘法-加法/乘法-累加系统(10),包括一个将伽罗瓦域上的两个带系数的多项式相乘以获得乘积的乘法器电路;一个响应乘法器电路,预测该多项式乘积对一个不可约分多项式的模余数的伽罗瓦域线性变换电路;用来为伽罗瓦域线性变换器电路提供一组系数以对一个预定的不可约分多项式预测模余数的存储电路;以及一个伽罗瓦域加法器电路,在伽罗瓦域将乘法器电路的乘积与带系数的第三多项式相加,以在单个周期中执行乘法和加法运算。(*该技术在2022年保护过期,可自由使用*)

【技术实现步骤摘要】

本专利技术涉及一种在一个周期中能够执行乘法/乘法-加法/乘法累加运算的伽罗瓦域乘法/乘法-加法/乘法累加系统。相关申请本申请要求以下美国临时申请的优先权Stein等人于2001年11月30日申请的标题为“GF2-ALU”的美国临时申请第60/334,662号(AD-239J);Stein等人于2001年12月18日申请的标题为“使用GF2-ALU的伽罗瓦域乘法加法(MPA)”的美国临时申请第60/341,635号(AD-299J);Stein等人于2001年12月18日申请的标题为“使用GF2-ALU和8路并行逻辑单元表的数据加密标准方法(DES)”的美国临时申请第60/341,711号(AD-297J),以及Stein等人于2001年11月30日申请的标题为“伽罗瓦域乘法器系统”的美国临时申请第60/334,510号(AD-240J)。
技术介绍
在很多应用中都要用到伽罗瓦域乘法,乘法-加法及乘法-累加运算。例如,在执行诸如Reed-Solomon的前向差错控制(FEC)编码方案时,必须在伽罗瓦域使用多项式计算十六个校正子(syndrome)。这是通过使用Homer规则递归完成的。例如,1+x+x2+x3+x4也可以递归写成x(x(x(x+1)+1)+1)+1,这需要一系列的乘法-加法运算。在预先加密标准(AES)密码函数中对于MixColumn变换需要进行乘法-累加运算,在此,一个向量乘以一个矩阵。在超长指令字(VLIW)处理器中有许多计算部件例如,乘法器、加法器和移位器。因此任何时候当一个数值在进行乘法运算时,前一个乘法的乘积可以进行加法运算。这种同时性的运算或者流水线技术使得完全处理一长串的n个数值只需要n+1个周期,而不需要2n个周期。然而在较小的处理器中,一个计算部件必须执行所有的功能,每个数值需要两个周期完成乘法和加法运算,因此处理一组n个数值需要2n个周期。
技术实现思路
因此本专利技术的一个目的是提供一种改进的伽罗瓦域乘法/乘法-加法/乘法-累加系统。本专利技术的另一个目的是提供一种在一个周期中能够执行乘法/乘法-加法/乘法-累加运算的改进的伽罗瓦域乘法/乘法-加法/乘法-累加系统。本专利技术的另一个目的是提供一种能够不需要额外的逻辑电路而提高性能的改进的伽罗瓦域乘法/乘法-加法/乘法-累加系统。本专利技术的另一个目的是提供一种改进的伽罗瓦域乘法/乘法-加法/乘法-累加系统,该系统在一个周期中能执行乘法或乘法和加法或乘法和累加运算。本专利技术源于一种改进的伽罗瓦域乘法/乘法-加法/乘法-累加系统的实现,这种系统通过使用一种伽罗瓦域加法器电路、在GF(2n)乘二进制多项式的多项式乘法器电路、伽罗瓦域线性变换器电路以及存储电路,只用很少或不用额外的逻辑电路,在一个周期中执行乘法或乘法和加法或乘法和累加运算,用于在单个周期中将来自乘法器的第一和第二多项式的乘积与第三多项式相加。本专利技术特征在于一种伽罗瓦域乘法/乘法-加法/乘法-累加系统,包括一个乘法器电路,用于在伽罗瓦域将两个带系数的多项式相乘以获得它们的乘积,以及一个伽罗瓦域线性域变换器电路,其响应乘法器电路,以预测该多项式乘积对一个不可约分多项式的模余数。一个存储电路为伽罗瓦域线性变换器电路提供一组系数以对一个预定的不可约分多项式预测模余数。一个伽罗瓦域加法器电路,将乘法器电路的乘积与带系数的第三多项式在伽罗瓦域相加,以在单个周期中执行乘法和加法运算。在优选的实施方案中,第三多项式可以是加法恒等多项式,并且加法运算可以为空。乘积可以递归地反馈作为第三多项式并且加法器电路可以执行一个乘法-累加运算。乘积可以传送到第一输出寄存器,而乘法-加法/乘法-累加结果可以传送到第二输出寄存器。伽罗瓦域加法器电路可能包括多个与伽罗瓦域线性变换器电路相关的加法器单元,用于在一个周期中将第三多项式与第一和第二多项式的乘积合并。附图说明根据下面对一个优选的实施方案的描述和参照附图,本领域的技术人员将想到本专利技术的其它目的、特征及优点,其中图1是一个根据本专利技术的伽罗瓦域乘法/乘法-加法/乘法-累加系统的简化框图;图2是图1所示的伽罗瓦域乘法/乘法-加法/乘法-累加系统详细框图;图3是图1所示的伽罗瓦域线性变换器单元的示意图,说明为实现预测结果,它的单元和那些相关的存储单元的编程;图4是对于图1所示的乘法器电路在GF(2n)乘二进制多项式的一个多项式乘法器单元的示意图;图5是用于图1所示的存储电路的一个存储装置的示意图;图6是图1所示的伽罗瓦域线性变换器电路的一个单元的示意图;图7是类似于图2,根据本专利技术的伽罗瓦域乘法/乘法-加法/乘法-累加系统的另一种结构图;图8是类似于图2,根据本专利技术的伽罗瓦域乘法/乘法-加法/乘法-累加系统的另一种结构图;图9是根据本专利技术的乘法和累加(MAC)的例子;以及图10是根据本专利技术的乘法和加法(MPA)的例子。具体实施例方式除了下面公开的一个或多个优选实施例,本专利技术可以有其它的实施例,并且可以以不同的方式应用或实施。因此,应当理解为本专利技术并不局限于以下说明中陈述或附图中示意的详细结构和部件配置的应用。图1所示为一个伽罗瓦域乘法/乘法-加法/乘法-累加系统10,其能够选择性地将寄存器14和寄存器16中的数值相乘并将它们的乘积提供给输出寄存器11,或者将寄存器14和寄存器16中的数值相乘,并将它们的乘积与寄存器15中的数值求和,然后将结果提供给输出寄存器11。在说明图2以及下列等等的本专利技术的实施方案之前,下面先简要地讨论一下伽罗瓦域乘法和加法的特性和运算。伽罗瓦域GF(n)是一组元素,在其上能够执行两个二进制运算。加法和乘法必须满足交换律、结合律和分配律。一个具有有限数目元素的域是一个有限域。二元域的一个例子是在模2加法和模2乘法下的集合{0,1},并表示为GF(2)。模2加法和乘法运算由下图所示的表格定义。第一行和第一列表示对伽罗瓦域加法器和乘法器的输入。例如,1+1=0和1*1=1。模2加法(XOR) 模2乘法(AND) 通常,如果p是任何一个素数,则GF(p)是一个具有p个元素的有限域,并且GF(pm)是一个具有pm个元素的扩展域。另外,该域的各个元素可以通过一个域元素α自乘到不同幂次生成一个域元素α的各个幂次。例如GF(256)有256个元素,这256个元素都可以通过基本元素α自乘到256个不同幂次生成。另外,系数是二进制的多项式属于GF(2)。如果一个多项式不能被GF(2)上次数大于零小于m的任何多项式整除,则说GF(2)上的m次多项式是不可约分的。多项式F(X)=X2+X+1是一个不可约分的多项式,因为它不能被X或X+1整除。一个整除X2m-1+1的m次不可约分多项式被称为一个基本多项式。对于给定的m,可以有一个以上基本多项式。经常用于大多数通信标准的一个m=8的基本多项式的例子为F(X)=x8+x4+x3+x2+x+1。由于伽罗瓦域加法与模加运算一样,因此容易用软件实现。例如,如果29和16是GF(28)上的两个元素,则它们的加法运算可以简单地通过下面的XOR运算完成29(11101)16(10000)=13(01101)。另一方面,伽罗瓦域乘法要稍微复杂一些,如下面的例子所示,其通过基本元素α的重复乘法来本文档来自技高网
...

【技术保护点】
一种伽罗瓦域乘法/乘法-加法/乘法-累加系统,包括:一个乘法器电路,用于在伽罗瓦域将两个带系数的多项式相乘以得到它们的乘积;一个伽罗瓦域线性变换器电路,响应所述乘法器电路,用于预测该多项式乘积对一个不可约分多项式的模余数;   一个存储电路,用于为所述伽罗瓦域线性变换器电路提供一组系数,以对一个预定的不可约分多项式预测模余数;以及一个伽罗瓦域加法器电路,用于将所述乘法器电路的所述乘积与一个带系数的第三多项式在伽罗瓦域相加,以在单个周期内执行乘法和加法 运算。

【技术特征摘要】
...

【专利技术属性】
技术研发人员:约瑟夫斯坦海姆普里姆亚尼维萨皮尔
申请(专利权)人:阿纳洛格装置公司
类型:发明
国别省市:US[美国]

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1