当前位置: 首页 > 专利查询>江南大学专利>正文

一种基于层次化处理与分簇约束的多阈值单元替换方法技术

技术编号:17597415 阅读:88 留言:0更新日期:2018-03-31 10:29
本发明专利技术公开了一种基于层次化处理与分簇约束的多阈值单元替换方法,包括:参数提取阶段,用于读取电路网表与设计约束、统计组合逻辑电路节点、获取电路节点的单元延迟、静态功耗及时序路径数;参数分析计算阶段,用于计算电路节点的单元延迟变化量、静态功耗变化量、静态功耗变化量与单元延迟变化量的比值;待替换电路节点选取阶段,用于基于分层参数对电路节点进行分层、从顶层到底层访问电路节点、追溯电路节点的最差时序路径、统计时序路径中的同簇电路节点、设定同簇电路节点优先级并依次访问、判断电路节点是否满足设计要求、输出待替换电路节点。本发明专利技术可自动完成ASIC设计待替换电路节点的选取,降低传统方法带来的复杂度,提高工作效率。

A multi threshold unit replacement method based on hierarchical processing and clustering constraints

The invention discloses a multi threshold unit based on hierarchical processing and clustering constraint replacement method, including parameter extraction stage, used to read the netlist and design constraints, statistical combination logic circuit node, node unit acquiring circuit delay, static power consumption and timing path number; parameters calculation stage for delay calculation the circuit node unit changes, static power variation, static power changes the amount of delay and unit variation ratio; to replace circuit node selection phase, based on the parameters of layered circuit for node layer, from the top to the bottom layer access node, node circuit circuit traces the worst timing path, the path of statistical timing the same cluster circuit node, set the same cluster circuit node priority access, and in turn determine whether the node circuit meets the design requirements, to output Replace the circuit node. The invention can automatically select the nodes of the ASIC design to be replaced, reduce the complexity brought by the traditional method and improve the working efficiency.

【技术实现步骤摘要】
一种基于层次化处理与分簇约束的多阈值单元替换方法
本专利技术属于芯片设计自动化领域,具体涉及一种基于层次化处理与分簇约束的多阈值单元替换方法。
技术介绍
CMOS晶体管的阈值电压与漏电流密切相关,阈值电压越大,漏电流越小,阈值电压越小,漏电流越大。为减小电路单元中的漏电流,人们提出了多阈值电压技术,即在电路设计中采用具有不同阈值电压的晶体管。多阈值电压技术的设计包含多阈值单元的晶体管级设计、不同电源电压下最佳阈值电压差的研究与多阈值单元电路优化等方面。多阈值电压技术在电路优化层面上主要采用多阈值单元替换技术,该技术一般在非关键路径上使用较高阈值电压的晶体管,在关键路径上使用较低阈值电压的晶体管,这样既降低漏电功耗,又不影响整个电路的性能。然而,并不是所有处于非关键路径上的电路单元都可以使用较高阈值电压的晶体管,因为这有可能会破坏关键路径、增加电路的延时、降低电路的性能。因此,通常在ASIC设计过程中需要对电路网表进行静态时序分析与静态功耗估计。静态时序分析利用标准单元库的延迟模型来分析经过所有逻辑路径中的信号延迟时间,是一种有效检查与分析电路延迟信息的技术。面对现今超大规模集成电路中静态漏电占比大、时序路径规模大、设计周期紧的局面,如何高效地从ASIC设计中完成静态功耗与路径时序较佳的平衡是一个重要的环节。经过对现有的技术文献进行检索发现,多阈值单元替换技术的研究主要针对以下两种情况:非关键路径上的电路单元被不恰当的替换为较高阈值电压的晶体管,会导致非关键路径变成关键路径,会影响电路性能;采用电压差小的高阈值电压,其较低阈值电压与较高阈值电压下电路节点的延迟变化小,对电路造成的影响小,但静态功耗优化效果不明显。WeiLiqiong等(LiqiongWei,ZhanpingChen,MarkJohnsonandKaushikRoy.DesignandOptimizationofLowVoltageHighPerformanceDualThresholdCMOSCircuits[C].DesignAutomationConference,1998,489-494)提出了一种breadth-firstsearch(BFS)算法,对于给定的电源电压与低阈值电压找到能达到最佳静态功耗的高阈值电压和采用该高阈值电压的电路节点。VijaySundararajan等(VijaySundararajan,KeshabK.Parhi.LowPowerSynthesisofDualThresholdVoltageCMOSVLSICircuits[C].1999InternationalSymposiumonLowPowerElectronicsandDesign,1999,139-144)认为存在比BFS算法更好的方案,将上述问题建模为线性约束下的凸最小化问题,并引入SDF-Displacement方法提出了PRACTIC方案。上述发表的算法主要利用定制的单元库和定制的时序分析工具,难以适应不同的设计环境,存在需要构建数据库、存在格式转换等问题,适用于一些简单的组合电路中,难以应对超大规模的集成电路设计中规模大、设计周期紧等问题。此外,传统的方法(SynopsysPowerCompilerUserGuide,VersionD-2010.03-SP2,9-9:14)提供了一种以阈值单元占比为约束参数来优化静态功耗的方法,此方法依赖输入阈值单元占比和约束强度,无法做到根据设计约束自动化实现时序与静态功耗的较佳平衡。RanFan等(FanRan,DandanZheng,XiaolangYan.AnAlgorithmforReducingLeakagePowerBasedonDual-ThresholdVoltageTechnique[C].2013FourthInternationalConferenceonDigitalManufacturingandAutomation(ICDMA),2013,132–134)结合EDA工具重新规划了设计流程,并基于静态时序分析的时序结果给电路节点分配阈值电压值,此优化方法主要适用于时序约束相对宽松、以低阈值电压优化时序路径的设计,不适合以高阈值电压优化功耗的设计。
技术实现思路
鉴于现有的方法存在的不足,本专利技术旨在提供一种基于层次化处理与分簇约束的多阈值单元替换方法,降低传统方法带来的复杂度,提高工作效率。本专利技术所述的一种基于层次化处理与分簇约束的多阈值单元替换方法,包括:参数提取阶段、参数分析计算阶段、待替换电路节点选取阶段;所述参数提取阶段,用于读取电路网表与设计约束文件、统计组合逻辑电路节点、获取电路节点的单元延迟、静态功耗及时序路径数;所述参数分析计算阶段,用于计算电路节点的单元延迟变化量、静态功耗变化量、静态功耗变化量与单元延迟变化量的比值;所述待替换电路节点选取阶段,用于选取满足以下条件的电路节点:该处电路节点采用高阈值类型的标准单元后依然满足设计约束条件;所述一种基于层次化处理与分簇约束的多阈值单元替换方法的实现步骤如下:步骤1:读取电路网表与设计约束文件进行静态时序分析,统计组合逻辑单元,将组合逻辑单元作为电路节点;步骤2:访问电路节点,获取静态功耗与单元延迟值,统计电路节点的时序路径数,将所有电路节点全部替换为高阈值类型的标准单元,获取静态功耗与单元延迟值,然后将所有电路节点全部替换回原阈值类型的标准单元;步骤3:计算步骤2中电路节点替换前后的静态功耗变化、单元延迟变化与权重;其中,静态功耗变化量等于低阈值类型静态功耗值减高阈值类型静态功耗值,单元延迟变化量等于高阈值类型单元延迟值减低阈值类型单元延迟值,权重等于静态功耗变化量除以单元延迟变化量;步骤4:将步骤2获取的电路节点时序路径数按数值的大小排序,剔除其中数值相等的值得到时序路径序列;根据此序列将电路节点分为多层,时序路径序列中的最小值对应顶层电路节点,第二小的数值对应第二层电路节点,依次类推,每层电路节点对应的时序路径数值为该层电路节点的分层参数;步骤5:访问顶层电路节点,并执行步骤6-8,直到顶层电路节点全部访问结束,访问第二层电路节点,重复执行步骤6-8,直到第二层电路节点全部访问结束,依次类推,直到所有层访问结束,最后执行步骤10;步骤6:追溯选中的电路节点所在所有路径中最差的时序路径;步骤7:访问最差时序路径中的所有电路节点,筛选出该路径中处于当前层的电路节点,将此类同层同路径的电路节点设为同簇电路节点,在该层电路节点中将该簇电路节点标记为已访问;步骤8:获取该簇电路节点的权重,作为处理该簇电路节点的优先级参数,其中权重越大,优先级越高,从优先级高的电路节点依次访问,并执行步骤9,直到该簇电路节点全部访问结束,然后替换待替换电路节点并更新时序;步骤9:获取时序路径的时序余量,第一次访问该路径的电路节点时该参数由EDA工具提供,再次访问该路径中电路节点时,由前一个电路节点单元延迟变化量与路径时序余量的比较结果提供;比较电路节点单元延迟变化量与路径时序余量,如果电路节点单元延迟变化量小于时序余量,将其列为待替换电路节点,并将路径时序余量赋值为路径时序余量与电路单元延迟变化量的差值;步骤10:输出待替换电路本文档来自技高网
...
一种基于层次化处理与分簇约束的多阈值单元替换方法

【技术保护点】
本专利技术公开了一种基于层次化处理与分簇约束的多阈值单元替换方法,包括:参数提取阶段、参数分析计算阶段、待替换电路节点选取阶段;所述参数提取阶段,用于读取电路网表与设计约束文件、统计组合逻辑电路节点、获取电路节点的单元延迟、静态功耗及时序路径数;所述参数分析计算阶段,用于计算电路节点的单元延迟变化量、静态功耗变化量、静态功耗变化量与单元延迟变化量的比值;所述待替换电路节点选取阶段,用于选取满足以下条件的电路节点:该处电路节点采用高阈值类型的标准单元后依然满足设计约束条件;所述基于层次化处理与分簇约束的多阈值单元替换方法的实现步骤如下:步骤1:读取电路网表与设计约束文件进行静态时序分析,统计组合逻辑单元,将组合逻辑单元作为电路节点;步骤2:访问电路节点,获取静态功耗与单元延迟值,统计电路节点的时序路径数,将所有电路节点全部替换为高阈值类型的标准单元,获取静态功耗与单元延迟值,然后将所有电路节点全部替换回原阈值类型的标准单元;步骤3:计算步骤2中电路节点替换前后的静态功耗变化、单元延迟变化与权重;其中,静态功耗变化量等于低阈值类型静态功耗值减高阈值类型静态功耗值,单元延迟变化量等于高阈值类型单元延迟值减低阈值类型单元延迟值,权重等于静态功耗变化量除以单元延迟变化量;步骤4:将步骤2获取的电路节点时序路径数按数值的大小排序,剔除其中数值相等的值得到时序路径序列;根据此序列将电路节点分为多层,时序路径序列中的最小值对应顶层电路节点,第二小的数值对应第二层电路节点,依次类推,每层电路节点对应的时序路径数值为该层电路节点的分层参数;步骤5:访问顶层电路节点,并执行步骤6‑8,直到顶层电路节点全部访问结束,访问第二层电路节点,重复执行步骤6‑8,直到第二层电路节点全部访问结束,依次类推,直到所有层访问结束,最后执行步骤10;步骤6:追溯选中的电路节点所在所有路径中最差的时序路径;步骤7:访问最差时序路径中的所有电路节点,筛选出该路径中处于当前层的电路节点,将此类同层同路径的电路节点设为同簇电路节点,在该层电路节点中将该簇电路节点标记为已访问;步骤8:获取该簇电路节点的权重,作为处理该簇电路节点的优先级参数,其中权重越大,优先级越高,从优先级高的电路节点依次访问,并执行步骤9,直到该簇电路节点全部访问结束,然后替换待替换电路节点并更新时序;步骤9:获取时序路径的时序余量,第一次访问该路径的电路节点时该参数由EDA工具提供,再次访问该路径中电路节点时,由前一个电路节点单元延迟变化量与路径时序余量的比较结果提供;比较电路节点单元延迟变化量与路径时序余量,如果电路节点单元延迟变化量小于时序余量,将其列为待替换电路节点,并将路径时序余量赋值为路径时序余量与电路单元延迟变化量的差值;步骤10:输出待替换电路节点,供后续ASIC设计流程中使用。...

【技术特征摘要】
1.本发明公开了一种基于层次化处理与分簇约束的多阈值单元替换方法,包括:参数提取阶段、参数分析计算阶段、待替换电路节点选取阶段;所述参数提取阶段,用于读取电路网表与设计约束文件、统计组合逻辑电路节点、获取电路节点的单元延迟、静态功耗及时序路径数;所述参数分析计算阶段,用于计算电路节点的单元延迟变化量、静态功耗变化量、静态功耗变化量与单元延迟变化量的比值;所述待替换电路节点选取阶段,用于选取满足以下条件的电路节点:该处电路节点采用高阈值类型的标准单元后依然满足设计约束条件;所述基于层次化处理与分簇约束的多阈值单元替换方法的实现步骤如下:步骤1:读取电路网表与设计约束文件进行静态时序分析,统计组合逻辑单元,将组合逻辑单元作为电路节点;步骤2:访问电路节点,获取静态功耗与单元延迟值,统计电路节点的时序路径数,将所有电路节点全部替换为高阈值类型的标准单元,获取静态功耗与单元延迟值,然后将所有电路节点全部替换回原阈值类型的标准单元;步骤3:计算步骤2中电路节点替换前后的静态功耗变化、单元延迟变化与权重;其中,静态功耗变化量等于低阈值类型静态功耗值减高阈值类型静态功耗值,单元延迟变化量等于高阈值类型单元延迟值减低阈值类型单元延迟值,权重等于静态功耗变化量除以单元延迟变化量;步骤4:将步骤2获取的电路节点时序路径数按数值的大小排序,剔除其中数值相等的值得到时序路径序列;根据...

【专利技术属性】
技术研发人员:顾晓峰王亚军虞致国
申请(专利权)人:江南大学
类型:发明
国别省市:江苏,32

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1