一种基于FPGA的复混沌简化系统的混沌信号发生器技术方案

技术编号:14581067 阅读:78 留言:0更新日期:2017-02-08 11:30
本发明专利技术具体涉及一种基于FPGA的复混沌简化系统的混沌信号发生器。本发明专利技术由四个模块构成,分别是分频器模块,寄存器模块,浮点数运算模块,浮点数转整形数模块;分频器模块产生clk信号,提供其他模块使用的时钟信号,模块的输入为板上晶振产生频率为50MHz的系统时钟,输出为clk信号;寄存器模块用于存放系统的初值和作为输出数据的缓存,在分频器引出的时钟clk作用下,将寄存器中的数据输出给下一级浮点数运算模块。本发明专利技术将结合复混沌系统的简化系统,以复Lü简化混沌系统为例设计四个核心模块实现基于FPGA的复混沌简化系统的混沌信号发生器。

【技术实现步骤摘要】

本专利技术具体涉及一种基于FPGA的复混沌简化系统的混沌信号发生器
技术介绍
混沌保密通信和混沌信息加密是通信和计算机领域的研究热点,许多国家已经将相关研究成果应用于现代战争中。混沌的应用以实际混沌系统为基础,目前在混沌信号发生器设计方面已经有了很多研究成果。实现混沌信号发生器主要有以下两种方案:1)模拟混沌电路,可利用电阻、电容和运算放大器等电子元器件实现混沌电路;2)数字混沌信号发生器,可在单片机、DSP或FPGA等硬件平台进行设计,但这种混沌信号并不是真正意义下的混沌。目前很多关于混沌系统的设计还是处于研发阶段,而且很多设计缺少灵活性,需要完善的地方还有很多,很难达到军事或商业应用的要求,并没有大规模的投入到实际应用中。因此,混沌信号发生器的设计还需要进一步研究才能满足现代市场化的要求。本专利技术将结合复混沌系统的简化系统,以复Lü简化混沌系统为例实现基于FPGA的复混沌简化系统的混沌信号发生器的实现方法。
技术实现思路
本专利技术的目的在于提供一种基于FPGA的复混沌简化系统的混沌信号发生器。由四个模块构成,分别是分频器模块,寄存器模块,浮点数运算模块,浮点数转整形数模块;分频器模块产生clk信号,提供其他模块使用的时钟信号,模块的输入为板上晶振产生频率为50MHz的系统时钟,输出为clk信号;寄存器模块用于存放系统的初值和作为输出数据的缓存,在分频器引出的时钟clk作用下,将寄存器中的数据输出给下一级浮点数运算模块,输入为initial语句产生的初始值,上个时钟信号浮点数运算模块产生的经过计算后的数据和时钟频率clk,输出为在当前时钟信号clk作用下寄存器缓存的数据;浮点数运算模块用于计算浮点数,包括浮点数的乘法和浮点数的加法运算,输入为时钟信号clk,寄存器缓存的寄存器数据,输出为在时钟信号clk作用下经过该模块计算后的寄存器数据;浮点数转整形数模块的作用是因为FPGA只能处理整形数,通过该模块产生能使FPGA使用的整形数;其输入信号为时钟信号clk,浮点数运算模块产生的浮点数,输出为在时钟信号clk作用下浮点数运算模块产生的浮点数对应的整形数;分频器模块:采用计数器分频,当复位键按下时,计数器清零,而当计数器计到预定数值时,使输出信号电平发生翻转,同时计数器清零,调整计数器的计数参数范围,得到需要的分频时钟。寄存器模块:定义的reg寄存器是32位,在reg寄存器初始化的过程中采用initial语句赋值,且initial语句只执行一次,对reg寄存器赋予不同的初值;浮点数运算模块:在系统运行时,系统产生的数据是32位的单精度浮点数,调用QuartusⅡ软件里自带的浮点数运算处理模块;浮点数转整形数模块:在宏功能浮点数运算模块运算完成之后,把浮点数转换为整形数,采用QuartusⅡ软件里自带的浮点数转整形数的宏功能模块,其中设置输入为32位单精度浮点数,输出数据的位数结合所选用的数模转换芯片定为12位。本专利技术的有益效果在于:本专利技术将结合复混沌系统的简化系统,以复Lü简化混沌系统为例设计四个核心模块实现基于FPGA的复混沌简化系统的混沌信号发生器。附图说明图1混沌信号发生器四个模块之间连接关系示意图。图2-1系统(8)中状态变量x的时域波形图。图2-2系统(8)中状态变量y的时域波形图。图2-3系统(8)中状态变量z的时域波形图。图3-1系统(8)中状态变量x与状态变量y的二维相图。图3-2系统(8)中状态变量x与状态变量z的二维相图。图3-3系统(8)中状态变量y与状态变量z的二维相图。图4复Lü系统简化系统的RTL视图。图5分频器仿真波形。图6寄存器仿真波形。图7浮点数转整形数仿真波形。图8复Lü系统简化系统的原理图设计。图9复Lü系统简化系统的数值仿真。图10-1示波器中x的时域波形。图10-2示波器中y的时域波形。图10-3示波器中z的时域波形。图11-1示波器中x与y的二维相图。图11-2示波器中x与z的二维相图。图11-3示波器中y与z的二维相图。图12混沌信号发生器的设计流程图。具体实施方式下面结合附图对本专利技术做进一步描述。混沌保密通信和混沌信息加密是通信和计算机领域的研究热点,许多国家已经将相关研究成果应用于现代战争中。混沌的应用以实际混沌系统为基础,目前在混沌信号发生器设计方面已经有了很多研究成果。实现混沌信号发生器主要有以下两种方案:1)模拟混沌电路,可利用电阻、电容和运算放大器等电子元器件实现混沌电路;2)数字混沌信号发生器,可在单片机、DSP或FPGA等硬件平台进行设计,但这种混沌信号并不是真正意义下的混沌。目前很多关于混沌系统的设计还是处于研发阶段,而且很多设计缺少灵活性,需要完善的地方还有很多,很难达到军事或商业应用的要求,并没有大规模的投入到实际应用中。因此,混沌信号发生器的设计还需要进一步研究才能满足现代市场化的要求。本专利技术将结合复混沌系统的简化系统,以复Lü简化混沌系统为例设计四个核心模块实现基于FPGA的复混沌简化系统的混沌信号发生器。该混沌信号发生器主要由四个模块构成,分别是分频器模块,寄存器模块,浮点数运算模块,浮点数转整形数模块。分频器模块的作用是产生clk信号,用于提供其他模块使用的时钟信号。该模块的输入为板上晶振产生频率为50MHz的系统时钟,输出为clk信号。寄存器模块用于存放系统的初值和作为输出数据的缓存,在分频器引出的时钟clk作用下,将寄存器中的数据输出给下一级浮点数运算模块。它的输入为initial语句产生的初始值,上个时钟信号浮点数运算模块产生的经过计算后的数据和时钟频率clk,输出为在当前时钟信号clk作用下寄存器缓存的数据。浮点数运算模块用于计算浮点数,主要包括浮点数的乘法和浮点数的加法运算。它的输入为时钟信号clk,寄存器缓存的寄存器数据,输出为在时钟信号clk作用下经过该模块计算后的寄存器数据。浮点数转整形数模块的作用是因为FPGA只能处理整形数,而之前使用的浮点数运算器,所以要通过该模块产生能使FPGA使用的整形数。其输入信号为时钟信号clk,浮点数运算模块产生的浮点数,输出为在时钟信号clk作用下浮点数运算模块产生的浮点数对应的整形数。由于FPGA是数字逻辑器件,只能处理离散化的数字信号,所以需要将连续系统的连续微分方程进行离散化处理,简单Euler法、改进型Euler法和Runge-Kutta法是常微分方程系统近似求解的常用方法。简单Euler法易于实现,使用DSP和FPGA实现时占用器件的逻辑资源少,但是实现的精度却受到算法本身的限制,精度不是很高;而改进Euler法和Runge-Kutta法实现起来相对要困难一些,占用DSP和FPGA的资源也较多,但是提高了精度,因此我们可以根据实际需求合理选择使用哪种算法,下面采用Euler法来实现。简单欧拉法是根据导数的极限定义推导出来的。根据导数的定义易知x(t)在任意点处的导数为:dx(t)dt=limΔt→0x(t+Δt)-x(t)Δt---(1)]]>其中x(t)是时间连续的状态变量,t是时间变量,Δt是时间变量t的增量。当Δt趋向于0时,式(1)可以近似改写为:dx(t)dt=limΔt&RightA本文档来自技高网...

【技术保护点】
一种基于FPGA的复混沌简化系统的混沌信号发生器,其特征在于:由四个模块构成,分别是分频器模块,寄存器模块,浮点数运算模块,浮点数转整形数模块;分频器模块产生clk信号,提供其他模块使用的时钟信号,模块的输入为板上晶振产生频率为50MHz的系统时钟,输出为clk信号;寄存器模块用于存放系统的初值和作为输出数据的缓存,在分频器引出的时钟clk作用下,将寄存器中的数据输出给下一级浮点数运算模块,输入为initial语句产生的初始值,上个时钟信号浮点数运算模块产生的经过计算后的数据和时钟频率clk,输出为在当前时钟信号clk作用下寄存器缓存的数据;浮点数运算模块用于计算浮点数,包括浮点数的乘法和浮点数的加法运算,输入为时钟信号clk,寄存器缓存的寄存器数据,输出为在时钟信号clk作用下经过该模块计算后的寄存器数据;浮点数转整形数模块的作用是因为FPGA只能处理整形数,通过该模块产生能使FPGA使用的整形数;其输入信号为时钟信号clk,浮点数运算模块产生的浮点数,输出为在时钟信号clk作用下浮点数运算模块产生的浮点数对应的整形数;分频器模块:采用计数器分频,当复位键按下时,计数器清零,而当计数器计到预定数值时,使输出信号电平发生翻转,同时计数器清零,调整计数器的计数参数范围,得到需要的分频时钟。寄存器模块:定义的reg寄存器是32位,在reg寄存器初始化的过程中采用initial语句赋值,且initial语句只执行一次,对reg寄存器赋予不同的初值;浮点数运算模块:在系统运行时,系统产生的数据是32位的单精度浮点数,调用QuartusⅡ软件里自带的浮点数运算处理模块;浮点数转整形数模块:在宏功能浮点数运算模块运算完成之后,把浮点数转换为整形数,采用QuartusⅡ软件里自带的浮点数转整形数的宏功能模块,其中设置输入为32位单精度浮点数,输出数据的位数结合所选用的数模转换芯片定为12位。...

【技术特征摘要】
1.一种基于FPGA的复混沌简化系统的混沌信号发生器,其特征在于:由四个模块构成,分别是分频器模块,寄存器模块,浮点数运算模块,浮点数转整形数模块;分频器模块产生clk信号,提供其他模块使用的时钟信号,模块的输入为板上晶振产生频率为50MHz的系统时钟,输出为clk信号;寄存器模块用于存放系统的初值和作为输出数据的缓存,在分频器引出的时钟clk作用下,将寄存器中的数据输出给下一级浮点数运算模块,输入为initial语句产生的初始值,上个时钟信号浮点数运算模块产生的经过计算后的数据和时钟频率clk,输出为在当前时钟信号clk作用下寄存器缓存的数据;浮点数运算模块用于计算浮点数,包括浮点数的乘法和浮点数的加法运算,输入为时钟信号clk,寄存器缓存的寄存器数据,输出为在时钟信号clk作用下经过该模块计算后的寄存器数据;浮点数转整形数模块的作用是因为FPGA只能处理整形数,通过该模块产生能使FPGA使...

【专利技术属性】
技术研发人员:黄丽莲高杰师东海
申请(专利权)人:哈尔滨工程大学
类型:发明
国别省市:黑龙江;23

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1