集成电路布局方法技术

技术编号:27305544 阅读:25 留言:0更新日期:2021-02-10 09:16
本发明专利技术公开了一种集成电路布局方法,能够减少一集成电路布局流程的结果的电位下降,该方法包含下列步骤:执行该集成电路布局流程,以得到一原始集成电路布局;对该原始集成电路布局执行一电位分析,以得到一电位下降热区;决定该电位下降热区的一电路密度限制;以及依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。以得到一更新集成电路布局。以得到一更新集成电路布局。

【技术实现步骤摘要】
集成电路布局方法


[0001]本专利技术是电路布局方法,尤其是关于集成电路布局方法。

技术介绍

[0002]在集成电路(例如:特殊应用集成电路(ASIC))设计流程中,工程师会在芯片下线(tape-out)前对时序收敛(timing closure)后的电路进行电位下降(IR drop)验证,以确保电路效能的稳定或是芯片内部线路不会过热。由于某些组件(例如:体积大、推力强且分布较为集中的标准组件(standard cell),或是被特定信号图样(pattern)驱动的小推力的标准组件)的布局容易导致电位下降的问题,在时序收敛后的电路中以人工操作方式来调整该些组件的位置或是进行尺寸缩小(size-down),就是通过分散并降低局部电位下降的方式来解决上述问题。
[0003]承前所述,以人工操作方式搬移电路中的组件容易让原本已收敛的时序出现问题及/或导致漏电(leakage power)问题,后续的修正过程不但会让电路面积增加或让漏电上升,也会影响到芯片下线的时程。另外,人工处理电位下降的作法会耗费许多时间,且成效不一定好。

技术实现思路

[0004]本专利技术的一目的在于提供一种集成电路布局方法,以避免先前技术的问题。
[0005]本专利技术的一目的在于提供一种集成电路布局方法,以改善一集成电路布局流程的结果的电路特性,并减少人力介入,以完善并加快该集成电路布局流程。
[0006]本专利技术的集成电路布局方法的一实施例能够减少一集成电路布局流程的结果的电位下降,该实施例包含下列步骤:执行该集成电路布局流程,以得到一原始集成电路布局;对该原始集成电路布局执行一电位分析,以得到一电位下降热区;决定该电位下降热区的一电路密度限制;以及依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。
[0007]本专利技术的集成电路布局方法的另一实施例能够改善一集成电路布局流程的结果的电路特性,该实施例包含下列步骤:执行该集成电路布局流程,以得到一原始集成电路布局;对该原始集成电路布局执行一电路特性分析,以得到一警示热区;决定该警示热区的一电路密度限制;以及依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。
[0008]有关本专利技术的特征、实作与功效,配合图式作优选实施例详细说明如下。
附图说明
[0009]图1示出本专利技术的集成电路布局方法的一实施例;
[0010]图2为图1的集成电路布局方法的实施效果的示意图;以及
[0011]图3示出本专利技术的集成电路布局方法的另一实施例。
具体实施方式
[0012]以下说明内容的用语是参照本
的习惯用语,如本说明书对部分用语有加以说明或定义,该部分用语的解释是以本说明书的说明或定义为准。
[0013]本专利技术公开了一种集成电路布局方法,能够改善一集成电路布局流程的结果的电路特性,并能减少人力介入,以完善并加快该集成电路布局流程。本专利技术适用于一特殊应用集成电路(ASIC)或其它类型的集成电路的设计流程,尤其适用于对电位下降(IR Drop)敏感的集成电路(例如:高速且低功耗的集成电路)的设计流程;然而,本专利技术的应用不以此为限。
[0014]图1示出本专利技术的集成电路布局方法的一实施例,该实施例能够减少一集成电路布局流程的结果的电位下降,包含下列步骤:
[0015]步骤S110:执行一集成电路布局流程,以得到一原始集成电路布局。于一实作范例中,该集成电路布局流程为执行一电位分析(IR Analysis)前的一般集成电路布局流程,依序包含下列阶段:一布局(Placement)阶段,用来依据一逻辑门级网表(gate level netlist)执行一布局流程;一时序树合成(Clock Tree Synthesis,CTS)阶段,用来依据该布局流程的结果执行时序树合成;一时序树合成后(Post-CTS)阶段,用来依据设计规则(Design Rules)、设定与保持(Setup and Hold)要求、电路面积与功耗(Area and Power)的优化的要求、以及减少拥塞(Congestion Reduction)等的至少其中之一进行调整;一绕线(Route)阶段;一绕线后(Post-Route)阶段;以及一阈值电压替换(VT Swap)阶段,用来采用适当的阈值电压的组件以利于关键路径的时序收敛与非关键路径的漏电减少等。上述布局阶段、时序树合成阶段、时序树合成后阶段、绕线阶段、绕线后阶段、以及阈值电压替换阶段为一般集成电路布局流程的常见阶段,其细节在此省略。步骤S110可借由利用已知的工具程序(例如:Synopsys的ICC/ICC2,或是Cadence的Innovus)来执行。
[0016]步骤S120:对该原始集成电路布局执行一电位分析,以得到一电位下降热区。于一实作范例中,步骤S120执行该电位分析得到多个电位下降热区。步骤S120可借由利用已知的工具程序(例如:ANSYS的RedHawk)来执行。
[0017]步骤S130:决定该电位下降热区的一电路密度限制。于一实作范例中,步骤130分别决定步骤S120所得到的多个电位下降热区的电路密度限制,其中任二电路密度限制可相同或相异。于一实作范例中,步骤S130包含:于前述布局阶段后以及前述时序树合成阶段前,依据该原始集成电路布局得到该电位下降热区的利用率(例如:x%)(或说电路密度);以及减少该原始集成电路布局中该电位下降热区的电路密度,以得到该电路密度限制(例如:(x-i)%或(x
×
k)%,其中i为默认值,k为默认比例)。
[0018]步骤S140:依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。基于该电路密度限制,相较于该原始集成电路布局中该电位下降热区的电路密度,该电位下降热区的电路密度于重新执行该集成电路布局流程的过程中会被降低,从而该集成电路布局流程的结果的电位下降会被减少。于一实作范例中,该电路密度限制于前述布局后被单次或多次降低,因此,若不考虑前述时序树合成阶段与时序树合成后阶段所设置的组件(例如:缓冲组件),该原始集成电路布局(例如:图2的原始集成电路布局22)中该电位下降热区(例如:图2的电位下降热区210)的电路密度大于该更新集成电路布局(例如:图2的更新集成电路布局24)中该电位下降热区的电路密度,如图2所示;但若考虑该
时序树合成阶段与该时序树合成后阶段所设置的组件,该原始集成电路布局中该电位下降热区的电路密度有可能会大于该更新集成电路布局中该电位下降热区的电路密度。
[0019]请参阅图1。于一实作范例中,该集成电路布局流程依序包含一布局阶段、一时序树合成阶段、以及M个剩余阶段(例如:前述时序树合成后阶段、绕线阶段、绕线后阶段、以及阈值电压替换阶段的至少其中之一),其中该M为正整数,而步骤S140包含:于决定该电路密度限制后,在该布局阶段后以及该时序树合成阶段前,降低该电路密度限制;接着执行该时序树合成阶段与该M个剩余阶段,以得本文档来自技高网
...

【技术保护点】

【技术特征摘要】
1.一种集成电路布局方法,能够减少一集成电路布局流程的结果的电位下降,该方法包含:执行该集成电路布局流程,以得到一原始集成电路布局;对该原始集成电路布局执行一电位分析,以得到一电位下降热区;决定该电位下降热区的一电路密度限制;以及依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。2.如权利要求1所述的集成电路布局方法,其中决定该电位下降热区的电路密度限制的步骤包含:依据该原始集成电路布局中该电位下降热区的电路密度,决定该电位下降热区的电路密度限制。3.如权利要求2所述的集成电路布局方法,其中该集成电路布局流程依序包含一布局阶段以及一时序树合成阶段,决定该电位下降热区的电路密度限制的步骤还包含:于该布局阶段后以及该时序树合成阶段前,依据该原始集成电路布局得到该电位下降热区的电路密度;以及减少该原始集成电路布局中该电位下降热区的电路密度,以得到该电路密度限制。4.如权利要求3所述的集成电路布局方法,其中减少该原始集成电路布局中该电位下降热区的电路密度的步骤包含:将该原始集成电路布局中该电位下降热区的电路密度减去一默认值或乘以一默认比例。5.如权利要求1所述的集成电路布局方法,其中该集成电路布局流程依序包含一布局阶段、一时序树合成阶段、以及M个剩余阶段,其中该M为正...

【专利技术属性】
技术研发人员:林殿国林立镒张云智
申请(专利权)人:瑞昱半导体股份有限公司
类型:发明
国别省市:

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1