基于制造技术

技术编号:39679611 阅读:15 留言:0更新日期:2023-12-11 18:57
本申请涉及一种基于

【技术实现步骤摘要】
基于FPGA的PTP时间同步方法及装置


[0001]本公开涉及时间同步
,尤其涉及一种基于
FPGA

PTP
时间同步方法及装置


技术介绍

[0002]目前的网络时间同步方式主要有
GNSS(Global Navigation Satellite System
,全球卫星导航定位系统
)、NTP(Network Time Protocol
,网络时间协议
)

PTP(Precision Timing Protocol
精密时间协议
)


需要说明的是,在网络系统中,多个设备间的协同是需要相关设备在时间上首先保证同步的

上述三种时间同步方式中,
GNSS
根据其模块品质和价格的不同,精度从纳秒到百纳秒不等,但受限于使用条件,其卫星信号容易受到楼宇或天气等因素的影响,而接受不到卫星信号的设备是无法与其他设备实现时间同步的
。NTP
作为将计算机时钟同步至世界协调时
UTC
的网络时间协议,其精度在局域网内可达
0.1ms
,在互联网绝大多数的地方可达1‑
50ms
,但是缺乏对于高精度需求设备的支持

[0003]PTP
,即
IEEE15888
,根据演进存在
v1

v2
两个版本,r/>IEEE1588v2
在有线网络中能够实现
5ns
级的时间精度,网络中仅以1个节点作为时间源,其他节点通过
PTP
技术即可实现与
GNSS
同级别的同步精度

因此,
PTP
兼具成本与精度的双重优势

[0004]但是,由于接收
PTP
报文的过程中,软件对于
PTP
报文的处理效率较低,易在软件处理中产生一定的延时,所以提供一种能减少对
PTP
报文处理过程中延时的方法,进一步的提升时间同步精度,提高处理效率,是目前亟待解决的问题


技术实现思路

[0005]有鉴于此,本申请提出一种基于
FPGA

PTP
时间同步方法及装置,以解决上述问题

[0006]本申请一方面,提出一种基于
FPGA

PTP
时间同步方法,包括如下步骤:
[0007]与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息;
[0008]根据所述时间戳信息计算本地时钟系统当前的偏移量;
[0009]基于所述偏移量的占比,按照预设标准调整所述本地时钟系统的时钟频率;
[0010]其中,所述与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息基于
FPGA
实现

[0011]作为本申请的一可选实施方案,可选地,与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息,包括:
[0012]接收主机发送的
Sync
报文和
Follow_Up
报文,记录主机发送时间戳和本地接收时间戳;
[0013]向所述主机发送
Delay_Req
报文,并接收所述主机发送的
Delay_Resp
报文,记录本地发送时间戳和主机接收时间戳

[0014]作为本申请的一可选实施方案,可选地,接收主机发送的
Sync
报文和
Follow_Up

文,记录主机发送时间戳和本地接收时间戳,包括:
[0015]接收主机发送的
Sync
报文,记录接收所述
Sync
报文时的本地接收时间戳;
[0016]接收主机发送的
Follow_Up
报文,记录所述
Follow_Up
中携带的所述
Sync
报文的主机发送时间戳

[0017]作为本申请的一可选实施方案,可选地,向所述主机发送
Delay_Req
报文,并接收所述主机发送的
Delay_Resp
报文,记录本地发送时间戳和主机接收时间戳,包括:
[0018]向所述主机发送
Delay_Req
报文,并记录发送所述
Delay_Req
报文时的本地时间戳;
[0019]接收所述主机发送的
Delay_Resp
报文,记录所述
Delay_Resp
报文中携带的所述主机接收所述
Delay_Req
报文的主机接收时间戳

[0020]作为本申请的一可选实施方案,可选地,在根据所述时间戳信息计算本地时钟系统当前的偏移量时,基于所述主机发送时间戳

所述本地接收时间戳

所述本地发送时间戳和所述主机接收时间戳实现

[0021]作为本申请的一可选实施方案,可选地,所述偏移量的计算公式为:
[0022]Toffset

(T2+T3

T1

T4)/2

[0023]式中,
T1
为主机发送时间戳
、T2
为本地接收时间戳
、T3
为本地发送时间戳
、T4
为主机接收时间戳

[0024]本申请另一方面,提供一种装置,用于实现上述任一项所述的基于
FPGA

PTP
时间同步方法,包括:
[0025]PTP
报文交换模块,被配置为与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息;
[0026]PTP
时钟偏移计算模块,被配置为根据所述时间戳信息计算本地时钟系统当前的偏移量;
[0027]时钟模块,基于所述偏移量的占比,按照预设标准调整所述本地时钟系统的时钟频率;
[0028]其中,所述与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息基于
FPGA
实现

[0029]作为本申请的一可选实施方案,可选地,所述
PTP
报文交换模块,包括:
[0030]以太网接收管理模块,被配置为接收主机发送的
Sync
报文
、Foll本文档来自技高网...

【技术保护点】

【技术特征摘要】
1.
一种基于
FPGA

PTP
时间同步方法,其特征在于,包括如下步骤:与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息;根据所述时间戳信息计算本地时钟系统当前的偏移量;基于所述偏移量的占比,按照预设标准调整所述本地时钟系统的时钟频率;其中,所述与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息基于
FPGA
实现
。2.
根据权利要求1所述的基于
FPGA

PTP
时间同步方法,其特征在于,与主机交换
PTP
报文,并记录所述
PTP
报文中的时间戳信息,包括:接收主机发送的
Sync
报文和
Follow_Up
报文,记录主机发送时间戳和本地接收时间戳;向所述主机发送
Delay_Req
报文,并接收所述主机发送的
Delay_Resp
报文,记录本地发送时间戳和主机接收时间戳
。3.
根据权利要求2所述的基于
FPGA

PTP
时间同步方法,其特征在于,接收主机发送的
Sync
报文和
Follow_Up
报文,记录主机发送时间戳和本地接收时间戳,包括:接收主机发送的
Sync
报文,记录接收所述
Sync
报文时的本地接收时间戳;接收主机发送的
Follow_Up
报文,记录所述
Follow_Up
中携带的所述
Sync
报文的主机发送时间戳
。4.
根据权利要求2所述的基于
FPGA

PTP
时间同步方法,其特征在于,向所述主机发送
Delay_Req
报文,并接收所述主机发送的
Delay_Resp
报文,记录本地发送时间戳和主机接收时间戳,包括:向所述主机发送
Delay_Req
报文,并记录发送所述
Delay_Req
报文时的本地时间戳;接收所述主机发送的
Delay_Resp
报文,记录所述
Delay_Resp
报文中携带的所述主机接收所述
Delay_Req
报文的主机接收时间戳
。5.
根据权利要求2所述的基于
FPGA

PTP<...

【专利技术属性】
技术研发人员:张岩杨兆平孙征宇李丛林
申请(专利权)人:北京实干兴邦科技有限公司
类型:发明
国别省市:

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1