一种远程在线配置FPGA软件方法和装置制造方法及图纸

技术编号:33528348 阅读:67 留言:0更新日期:2022-05-19 01:54
本申请公开了一种远程在线配置FPGA软件装置,包括接口模块、译码模块、bin数据组帧模块、SPI配置模块、启动控制模块、存储器。本申请还包含一种远程在线配置FPGA软件的方法。本申请的方法和装置实现了对弹载数字信号处理设备的FPGA软件进行远程在线下载。备的FPGA软件进行远程在线下载。备的FPGA软件进行远程在线下载。

【技术实现步骤摘要】
一种远程在线配置FPGA软件方法和装置


[0001]本申请涉及电子
,尤其涉及一种远程在线配置FPGA软件方法和装置。

技术介绍

[0002]FPGA为弹载数字信号处理设备的重要组成部分,主要负责各类数字信号的处理以及控制时序的实现。由于体积和成本、重量、安装方式等限制,目前很多被测产品在装配后需多次更改FPGA软件以达到更改功能的目的,传统的FPGA软件更新方式主要通过将被更新产品从飞行器中拆卸后,通过专用的FPGA下载线连接到FPGA下载口将更新软件下载到FPGA中。此种方法需对飞行器进行反向拆卸,重新拆卸产品会带来大量的重复装配及测试工作,浪费大量人力及效率。

技术实现思路

[0003]本申请提出一种远程在线配置FPGA软件方法和装置,解决对弹载数字信号处理设备的FPGA软件进行远程在线下载问题。
[0004]一方面,本申请提出一种远程在线配置FPGA软件装置,包括接口模块、译码模块、bin数据组帧模块、SPI配置模块、启动控制模块、存储器。
[0005]接口模块,用于输入串行数据同步处理,进行SDLC解析;
[0006]译码模块,用于对来自接口模块的数据进行译码,生成启动指令或程序更新指令;
[0007]Bin数据组帧模块,用于接收程序更新指令,生成加载存储器所需的数据格式;
[0008]SPI配置模块,用于将加载的数据转换为SPI接口时序;
[0009]存储器,用于存储程序代码;
[0010]启动控制模块,用于接收启动指令,激活启动时序;
[0011]ICAP模块,用于从存储器调取程序代码,触发FPGA重配置。
[0012]优选地,所述存储器为全双工串行非易失性存储器。
[0013]优选地,所述接口模块、译码模块、bin数据组帧模块、SPI配置模块、启动控制模块、ICAP模块在FPGA内部用信号线连接。
[0014]优选地,所述存储器的与SPI配置模块输出端在电路板上通过印制线连接;所述存储器与ICAP模块输入端在电路板上通过印制线连接。
[0015]优选地,所述接口模块,支持RS422接口或485接口。
[0016]第二方面,本申请还提出一种远程在线配置FPGA软件方法,用于本申请任意一项实施例所述装置,包含以下步骤:
[0017]所述接口模块接收外部指令;
[0018]所述译码模块将外部指令进行解析译码,
[0019]若所得指令为程序更新指令,则所述接口模块接收外部更新程序代码,经译码模块译码后送入Bin数据组帧模块,按照存储格式将数据组帧,再经SPI配置模块按照SPI协议将所述程序代码发送到存储器中;
[0020]若所得指令为启动指令,则经译码模块译码后送入启动控制模块中,启动控制模块控制ICA模块重新启动,从所述存储器中读取程序代码并触发FPGA重配置。
[0021]本申请实施例采用的上述至少一个技术方案能够达到以下有益效果:
[0022]本专利技术的设计对遥测产品的远程在线加载起到了重要作用,成功实现了产品的免拆卸,提高了产品研制生产效率,节约硬件开发成本,减少重新开盒配置的风险,且通用性好,兼容性强。后续可依托遥测实际应用需求,多次重复修改需在线配置的程序。
[0023]本专利技术可推广到其它FPGA应用场合,仅仅通过一个数据接口,更新了FPGA中的程序,此方法可根据任务和测试需求进行调整,接口形式可由RS422接口更改为其它通用接口。经遥测产品验证,此方法工作可靠稳定,具有广泛的应用价值。
附图说明
[0024]此处所说明的附图用来提供对本申请的进一步理解,构成本申请的一部分,本申请的示意性实施例及其说明用于解释本申请,并不构成对本申请的不当限定。在附图中:
[0025]图1为一种可远程在线配置FPGA软件的系统实施例;
[0026]图2为远程在线配置FPGA软件方法实施例流程图。
具体实施方式
[0027]为使本申请的目的、技术方案和优点更加清楚,下面将结合本申请具体实施例及相应的附图对本申请技术方案进行清楚、完整地描述。显然,所描述的实施例仅是本申请一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本申请保护的范围。
[0028]在本申请中,
[0029]ICAP:ICAP全称为Internet Content Adaptation Protocol,指的是内部配置访问端口,其主要作用是通过内部配置访问端口,用户可以在FPGA逻辑代码中直接读写FPGA内部配置寄存器,从而实现特定的配置功能。
[0030]Bin:一种二进制文件,其用于依赖系统或应用而定。
[0031]SPI:全称为全双工同步串行总线,常用于微控制器与外设之间的连接。
[0032]SDLC:SDLC是链路层协议的一项国际标准,用以实现远程用户间资源共享以及信息交互。
[0033]以下结合附图,详细说明本申请各实施例提供的技术方案。
[0034]图1为一种可远程在线配置FPGA软件的系统实施例。
[0035]本专利技术提供了一种可远程在线配置FPGA软件的方法,解决了普通设备无法远程升级的问题。
[0036]该方法包括接口模块11,译码模块12,Bin数据组帧模块13,SPI配置模块14,存储器15,启动控制模块16,ICAP模块17。
[0037]所述接口模块,例如为RS422接口模块,或485接口模块。
[0038]例如,RS422接口模块的功能为:完成与控制计算机的通信数据收发缓存,完成对输入串行数据的同步处理,将数据进行SDLC协议解析。
[0039]译码模块:译码模块完成对RS422数据的指令译码,主要包括启动指令和程序更新
指令,程序更新指令用于启动程序的更新时序,将待更新的数据准备好。
[0040]Bin数据组帧模块:Bin数据组帧模块用于完成将待更新数据组帧成全双工串行总线非易失性存储器动态加载所需的数据格式。
[0041]SPI配置模块:SPI配置模块完成FPGA与SPI接口之间的时序转换。
[0042]优选地,所述存储器为全双工串行总线非易失性存储器。全双工串行非易失性存储器为程序存储器,FPGA启动时从该存储器中将程序代码加载出来。
[0043]启动控制模块:启动控制模块接收译码模块过来的启动指令,并激活启动时序。
[0044]ICAP模块:CAP模块从SPI_FLASH中读取要加载的更新程序,并触发FPGA重配置。
[0045]其中RS422接口模块的输出端与译码模块在FPGA内部用线性信号连接在一起;译码模块的输出端在FPGA内部用线性信号连接到Bin数据组帧模块与启动控制模块;Bin数据组帧模块的输出端与SPI配置模块的输入端在FPGA内部用线性信号连接在一起;SPI配置模块的输出端与全双本文档来自技高网
...

【技术保护点】

【技术特征摘要】
1.一种远程在线配置FPGA软件装置,其特征在于,包括:接口模块、译码模块、bin数据组帧模块、SPI配置模块、启动控制模块、存储器;接口模块,用于输入串行数据同步处理,进行SDLC解析;译码模块,用于对来自接口模块的数据进行译码,生成启动指令或程序更新指令;Bin数据组帧模块,用于接收程序更新指令,生成加载存储器所需的数据格式;SPI配置模块,用于将加载的数据转换为SPI接口时序;存储器,用于存储程序代码;启动控制模块,用于接收启动指令,激活启动时序;ICAP模块,用于从存储器调取程序代码,触发FPGA重配置。2.如权利要求1所述的远程在线配置FPGA软件装置,其特征在于,所述存储器为全双工串行非易失性存储器。3.如权利要求1所述远程在线配置FPGA软件装置,其特征在于,所述接口模块、译码模块、bin数据组帧模块、SPI配置模块、启动控制模块、ICAP模块在FPGA内部用信号线连接...

【专利技术属性】
技术研发人员:高星高君路盛莹郑昉
申请(专利权)人:北京无线电计量测试研究所
类型:发明
国别省市:

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1