一种RS485总线差分信号放大器制造技术

技术编号:24862010 阅读:58 留言:0更新日期:2020-07-10 19:13
本发明专利技术公开了一种RS485总线差分信号放大器,其特征是:包括第一总线接口、第二总线接口、第一RS485转换模块、第二RS485转换模块以及信号处理模块,第一总线接口与第一RS485转换模块的差分信号端口连接,第一RS485转换模块的电平信号端口与信号处理模块的输入端连接,信号处理模块的输出端与第二RS485转换模块的电平信号端口连接,第二总线接口与第二RS485转换模块的差分信号端口连接,信号处理模块用于接受电平信号并根据电平信号的传输方向控制第一RS485转换模块和第二RS485转换模块的收发状态。该放大器先将差分信号转换为TTL电平信号,再对TTL电平信号进行一系列反相处理,最后将TTL电平信号还原为差分信号,实现了对衰弱的差分信号的放大,从而对差分信号进行准确判断。

【技术实现步骤摘要】
一种RS485总线差分信号放大器
本专利技术涉及一种信号放大器,更具体地说,它涉及一种RS485总线差分信号放大器。
技术介绍
RS485总线是现在工业通信领域常用的通信之一,在智能仪表,智能家居,工业控制经常使用。在RS485通信网络中一般采用的是主从通信方式,即一个主机多个从机。在信号传输上采用差分线技术进行通信,既利用两条线之间的信号差来传输数字信号0或者1,对于RS485来说这两条线就是A和B。一般情况下当A-B的电压大于或等于0.2V则总线上传输信号1;当A-B的电压小于或等于-0.2V则总线上传输信号0;而A-B大于-0.2小于0.2,则总线的信号无法确定既有可能是1也有可能是0;空闲状态下AB之间的电压都是高电平。其优势是布线简单,传输距离长,抗干扰能力强。理论上RS485总线上可以挂载几十个或上百个从机,而且理论上传输距离可达几百米到千米不等,这满足大多数控制数量要求距离要求。但是在实际工程使用中,由于线材的材质和实际工程布线的现场情况会让实际与理论值相差甚远。总线上的信号从主机发出经过长距离的传输受到线材的阻抗影响到末端就衰减的非常厉害,导致A、B之间的电压差太小而介于大于-0.2小于0.2的区间上,这导致信号传输不正确总线通信不正常,从机给主机发出的信号经过长距离的传输受到线材的阻抗因素影响到主机上受到的信号也会出现通信不正常现象。
技术实现思路
针对现有技术存在的不足,本专利技术的目的在于提供一种RS485总线差分信号放大器,其能够避免RS485总线因信号衰减导致差分信号无法被准确判断的情况,保证RS485总线远距离通信的准确性。为实现上述目的,本专利技术提供了如下技术方案:一种RS485总线差分信号放大器,包括第一总线接口、第二总线接口、第一RS485转换模块、第二RS485转换模块以及信号处理模块,所述第一总线接口与第一RS485转换模块的差分信号端口连接,所述第一RS485转换模块的电平信号端口与信号处理模块的输入端连接,所述信号处理模块的输出端与第二RS485转换模块的电平信号端口连接,所述第二总线接口与第二RS485转换模块的差分信号端口连接,所述第一RS485转换模块和第二RS485转换模块用于将差分信号转换为电平信号或是将电平信号转换为差分信号,所述信号处理模块用于接受电平信号并根据电平信号的传输方向控制第一RS485转换模块和第二RS485转换模块的收发状态。作为优选方案:所述信号处理模块包括第一反相器、第二反相器、第一隔离模块和第二隔离模块,所述第一反相器包括互相独立的第一、第二、第三、第四、第五和第六反相单元,第二反相器包括互相独立的第七、第八、第九、第十、第十一以及第十二反相单元,所述第一反相单元的输出端与第二反相单元的输入端,所述第二反相单元的输出端与第一RS485转换模块的发送端,所述第三反相单元的输入端与第一RS485转换模块的接收端连接,所述第三反相单元的输出端与第一隔离模块的输入端连接,所述第六反相单元的输出端与第五反相单元的输入端连接,所述第五反相单元的输出端与第四反相单元的输入端连接,所述第四反相单元的输出端与第一RS485转换模块的使能端连接,所述第十二反相单元的输出端与第一隔离模块的输出端连接,所述第十二反相单元的输出端与第七反相单元的输入端连接,所述第七反相单元的输出端与第二RS485转换模块的发送端连接,所述第九反相单元的输入端与第二RS485转换模块的接收端连接,所述第九反相单元的输出端与第二隔离模块的输入端连接,所述第二隔离模块的输出端与第一反相单元的输入端以及第六反相单元的输入端连接,所述第十一反相单元的输入端与第一隔离模块的输出端连接,所述第十一反相单元的输出端与第十反相单元的输入端连接,所述第十反相单元的输出端与第八反相单元的输入端连接,所述第八反相单元的输出端与第二RS485转换模块的使能端连接。作为优选方案:所述第一RS485转换模块采用的是型号为SN75176的芯片U4,U4的5号引脚接地,U4的6号引脚与第一总线接口J1的2号端口连接,U4的7号引脚与J1的3号端口连接,U4的8号引脚连接电源VCC,U4的6号引脚通过电阻R2连接电源VCC,J1的1号端口连接电源VCC,U4的7号引脚通过电阻R6接地,J1的4号端口接地,U4的1号引脚通过电阻R9连接电源VCC。作为优选方案:所述第一反相器采用的是TLL反相器,其包括芯片U2,U2的型号为74HC04,U2的1号引脚通过电阻R1连接电源VCC,U2的2号引脚与其3号引脚连接,U2的7号引脚接地,U2的9号引脚通过电阻R4连接其10号引脚,U2的11号引脚与其12号引脚连接,U2的13号引脚与其1号引脚连接,U2的4号引脚与U4的4号引脚连接,U2的8号引脚与U4的2号引脚及3号引脚连接。作为优选方案:第一隔离模块采用的是隔离光耦芯片U7,U7的型号为HCPL-0601,U7的8号引脚连接电源VCC1、U7的5号引脚以及3号引脚均接地,U7的6号引脚通过电阻R12连接电源VCC1,U7的2号引脚通过电阻R11连接U2的6号引脚。作为优选方案:第二隔离模块采用的隔离光耦芯片U6,U6的型号为HCPL-0601,U6的3号引脚和5号引脚接地,U3的8号引脚连接电源VCC,U6的6号引脚与U2的1号引脚以及13号引脚连接。作为优选方案:第二反相器采用的是TTL反相器,其包括芯片U3,U3的型号为74HC04,U3的1号引脚与其12号引脚连接,U3的2号引脚与U5的4号引脚连接,U3的3号引脚通过电阻R10连接其8号引脚,U3的4号引脚连接U5的2号引脚,U3的5号引脚连接U5的1号引脚,U3的6号引脚通过电阻R5连接U6的2号引脚,U3的7号引脚接地,U3的9号引脚与其10号引脚连接,U3的11号引脚以及13号引脚均与U7的6号引脚连接,U3的14号引脚连接电源VCC1。作为优选方案:第二RS485转换模块采用的是型号为SN75176的芯片U5,U5的1号引脚通过电阻R8连接电源VCC1,U5的5号引脚接地,U5的6号引脚与第二总线接口J2的2号端口连接,U5的7号引脚与J2的3号端口连接,U5的8号引脚连接电源VCC1,U5的6号引脚通过电阻R3连接电源VCC1,J2的1号端口连接电源VCC1,U5的7号引脚通过电阻R7接地,J2的4号端口接地。作为优选方案:还包括二极管D1,其中D1的正极与U2的9号引脚连接,D1的负极与U2的10号引脚连接。作为优选方案:还包括二极管D2,D2的正极与U3的3号引脚连接,D2的负极与U3的8号引脚连接。与现有技术相比,本专利技术的优点是:该放大器在工作时,第一RS485转换模块将差分信号转换为TTL电平信号,再由信号处理模块对TTL电平信号进行前述的一系列反相处理,最后由第二RS485转换模块将TTL电平信号还原为差分信号,还原出的两路信号幅值变大,即实现了对衰弱的差分信号的放大,两路放大后的信号的差值不会出现-0.2V<A-B<0.2V的情况,如此可以准确判断出差本文档来自技高网...

【技术保护点】
1.一种RS485总线差分信号放大器,其特征是:包括第一总线接口、第二总线接口、第一RS485转换模块、第二RS485转换模块以及信号处理模块,所述第一总线接口与第一RS485转换模块的差分信号端口连接,所述第一RS485转换模块的电平信号端口与信号处理模块的输入端连接,所述信号处理模块的输出端与第二RS485转换模块的电平信号端口连接,所述第二总线接口与第二RS485转换模块的差分信号端口连接,所述第一RS485转换模块和第二RS485转换模块用于将差分信号转换为电平信号或是将电平信号转换为差分信号,所述信号处理模块用于接受电平信号并根据电平信号的传输方向控制第一RS485转换模块和第二RS485转换模块的收发状态。/n

【技术特征摘要】
1.一种RS485总线差分信号放大器,其特征是:包括第一总线接口、第二总线接口、第一RS485转换模块、第二RS485转换模块以及信号处理模块,所述第一总线接口与第一RS485转换模块的差分信号端口连接,所述第一RS485转换模块的电平信号端口与信号处理模块的输入端连接,所述信号处理模块的输出端与第二RS485转换模块的电平信号端口连接,所述第二总线接口与第二RS485转换模块的差分信号端口连接,所述第一RS485转换模块和第二RS485转换模块用于将差分信号转换为电平信号或是将电平信号转换为差分信号,所述信号处理模块用于接受电平信号并根据电平信号的传输方向控制第一RS485转换模块和第二RS485转换模块的收发状态。


2.根据权利要求1所述的RS485总线差分信号放大器,其特征是:所述信号处理模块包括第一上拉电阻、第二上拉电阻、第一反相器、第二反相器、第一隔离模块和第二隔离模块,所述第一反相器包括互相独立的第一、第二、第三、第四、第五和第六反相单元,第二反相器包括互相独立的第七、第八、第九、第十、第十一以及第十二反相单元,所述第一反相单元的输出端与第二反相单元的输入端,所述第二反相单元的输出端与第一RS485转换模块的发送端,所述第三反相单元的输入端与第一RS485转换模块的接收端连接,所述第三反相单元的输出端与第一隔离模块的输入端连接,第一上拉电阻与第三反相器的输入端连接,所述第六反相单元的输出端与第五反相单元的输入端连接,所述第五反相单元的输出端与第四反相单元的输入端连接,所述第四反相单元的输出端与第一RS485转换模块的使能端连接,所述第十二反相单元的输出端与第一隔离模块的输出端连接,所述第十二反相单元的输出端与第七反相单元的输入端连接,所述第七反相单元的输出端与第二RS485转换模块的发送端连接,所述第九反相单元的输入端与第二RS485转换模块的接收端连接,所述第九反相单元的输出端与第二隔离模块的输入端连接,所述第二上拉电阻与第九反相器的输入端连接,所述第二隔离模块的输出端与第一反相单元的输入端以及第六反相单元的输入端连接,所述第十一反相单元的输入端与第一隔离模块的输出端连接,所述第十一反相单元的输出端与第十反相单元的输入端连接,所述第十反相单元的输出端与第八反相单元的输入端连接,所述第八反相单元的输出端与第二RS485转换模块的使能端连接。


3.根据权利要求2所述的RS485总线差分信号放大器,其特征是:所述第一RS485转换模块采用的是型号为SN75176的芯片U4,U4的5号引脚接地,U4的6号引脚与第一总线接口J1的2号端口连接,U4的7号引脚与J1的3号端口连接,U4的8号引脚连接电源VCC,U4的6号引脚通过电阻R2连接电源VCC,J1的1号端口连接电源VCC,U4的7号引脚通过电阻R6接地,J1的4号端口接地,...

【专利技术属性】
技术研发人员:刘全辉戴俊秀黄健
申请(专利权)人:广州天嵌计算机科技有限公司
类型:发明
国别省市:广东;44

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1