重新分配单元密度的方法及计算机技术

技术编号:13569598 阅读:42 留言:0更新日期:2016-08-21 11:57
本发明专利技术实施例公开了在集成电路的布局区域重新分配单元密度的方法及计算机。其中一种方法包括:获取所述集成电路的初始的布局中的初始的单元密度分布和布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的布线密度分布识别所述集成电路的至少一个第一区域,并增加所述第一区域的单元密度,以获取改善后的单元密度分布,所述第一区域在所述获取的布线密度大于预先设定的密度阈值;平滑所述改善后的单元密度分布来获取校准后的单元密度分布;从所述校准后的单元密度分布中移除所述空白来获取最终的布局;以及,根据所述最终的布局实施所述集成电路。通过实施本发明专利技术实施例可减少整个集成电路的布局区域的尺寸。

【技术实现步骤摘要】
本专利技术要求申请日为2015年2月9日,专利号为62/113,602的美国临时专利的优先权,该美国专利的全部内容均包含在本专利技术中。
本专利技术涉及集成电路领域,尤其涉及在集成电路的布局区域重新分配单元密度的方法及计算机
技术介绍
近年来,集成电路(例如,超级大规模集成电路(Larger Scale IntegratedCircuits,LSIC))的开发流程(development process)已普遍地采用计算机辅助设计(Computer Assisted Design,CAD)。根据基于CAD的开发流程,通过使用所谓的硬件描述语言(Hardware Description Language,HDL)来定义抽象电路数据,所述抽象电路数据与要开发的集成电路的功能相对应,此外,用于定义所述抽象电路数据的电路形成具体的电路结构被安装到芯片上。在制造或实施一个集成电路芯片前,所述集成电路芯片的布局(placement)和布局区域(layout area)应该首先被确定,以便确定每一个集成电路芯片的尺寸。通常,尺寸将会影响集成电路芯片的制造成本。因此,为了最小化集成电路芯片的布局区域,有必要优化所述集成电路芯片的布局。
技术实现思路
本专利技术提供在集成电路的布局区域重新分配单元密度的方法及计算机,可减少整个集成电路的布局区域的尺寸。本专利技术的提供的一种在集成电路的布局区域重新分配单元密度的方法,可包括:获取所述集成电路的初始的布局中的初始的单元密度分布;获取所述集成电路的初始的布局中的布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的初始的布局中的布线密度分布识别所述集成电路的至少一个第一区域,并通过在所述第一区域中插入空白增加所述第一区域的单元密度,以获取改善后的单元密度分布,其中,所述第一区域在所述获取的初始的布局中的布线密度大于预先设定的密度阈值;平滑所述改善后的单元密度分布来获取校准后的单元密度分布;从所述校准后的单元密度分布中移除所述空白来获取最终的布局;以及,根据所述最终的布局实施所述集成电路。该方案可减少整个集成电路的布局区域的尺寸。本专利技术提供的另一种在集成电路的布局区域中重新分配单元密度的方法,可包括:获取所述集成电路的初始的布局中的初始的单元密度分布;获取所述集成电路的初始的布局中的布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的初始的布局中的布线密度分布识别所述集成电路中的至少一个第一区域,其中,所述第一区域在所述获取的初始的布局中的布线密度大于预先设定的密度阈值;扩大所述第一区域中的至少一个第一单元,以增大所述第一单元的单元尺寸;收缩所述第一区域之外的至少一个第二单元,以减小所述第二单元的单元尺寸;根据所述扩大后的所述第一单元和所述收缩后的所述第二单元重新分配所述初始的布局的单元密度,以获取校准后的单元密度分布;恢复所述校准后的单元密度分布中的所述第一单元和所述第二单元的单元尺寸,以获取最终的布局;以及,根据所述最终的布局实施所述集成电路。该方案也可减少整个集成电路的布局区域的尺寸。本专利技术提供的一种计算机可包括处理器、内存和存储设备,其中,所述存储设备用于存储应用程序;所述处理器用于将所述存储设备中的应用程序加载到所述内存中,以执行如下步骤:获取所述集成电路的初始的布局中的初始的单元密度分布;获取所述集成电路的初始的布局中的布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的初始的布局中的布线密度分布识别所述集成电路的至少一个第一区域,并通过在所述第一区域中插入空白增加所述第一区域的单元密度,以获取改善后的单元密度分布,其中,所述第一区域在所述获取的初始的布局中的布线密度大于预先设定的密度阈值;平滑所述改善后的单元密度分布来获取校准后的单元密度分布;从所述校准后的单元密度分布中移除所述空白来获取最终的布局;以及,根据所述最终的布局实施所述集成电路。该方案也可减少整个集成电路的布局区域的尺寸。本专利技术提供的另一种计算机可包括处理器、内存和存储设备,其中,所述存储设备用于存储应用程序;所述处理器用于将所述存储设备中的应用程序加载到所述内存中,以执行如下步骤:获取所述集成电路的初始的布局中的初始的单元密度分布;获取所述集成电路的初始的布局中的布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的初始的布局中的布线密度分布识别所述集成电路的至少一个第一区域,并通过在所述第一区域中插入空白增加所述第一区域的单元密度,以获取改善后的单元密度分布,其中,所述第一区域在所述获取的初始的布局中的布线密度大于预先设定的密度阈值;平滑所述改善后的单元密度分布来获取校准后的单元密度分布;从所述校准后的单元密度分布中移除所述空白来获取最终的布局;以及,根据所述最终的布局实施所述集成电路。该方案也可减少整个集成电路的布局区域的尺寸。【附图说明】图1为集成电路的典型的分层设计流程的示意图。图2为依据本专利技术的一个实施例的在集成电路的布局中重新分配单元密度的方法的流程图。图3为依据图2的步骤S210的初始的布局的一个实施例。图4为图3中的初始的布局中的初始的单元密度分布的一个实施例。图5为图2中步骤S220获取的平坦的单元密度分布的一个实施例。图6为图2中的步骤S240获取的改善后的单元密度分布的一个实施例。图7为图2中的步骤S260获取的校准后的单元密度分布的一个实施例。图8为图2中的步骤S270获取的最终的布局的最终的单元密度分布的一个实施例。图9为依据本专利技术的一个实施例的计算机系统100的示意图。【具体实施方式】图1为集成电路的典型的分层设计(hierarchical design)流程的示意图。在步骤S110,获取用于描述所述集成电路所执行的功能的寄存器传输级(Register-Transfer-Level,RTL)代码。在步骤S120,综合所述RLT代码来生成所述集成电路的门(gates)。在步骤S130,执行自动布局和布线(Auto Place And Route,APR)程序以形成所述门在所述集成电路的芯片区域中的布局。在步骤S140,判断所述形成的布局中是否存在拥塞,如果判断为否,执行步骤S160,如果判断为是,执行步骤S150。在步骤S150,增大所述集成电路的芯片区域来解决所述拥塞,并返回步骤S130,以形成所述门在所述集成电路的芯片区域中的新的布局。在步骤S160,根据所述布局实施所述集成电路。图2为依据本专利技术的一个实施例的在集成电路的布局中重新分配单元密度(cell density)的方法的流程图。图2中的方法可由有能力操作电子设计自动化(Electronic Design Automation,EDA)工具的计算机所执行。在步骤S210,所述计算机的处理器获取所述集成电路的初始的布局(initialplacement),且可通过一个用户图形界面(GUI)显示所述初始的布局。在步骤S220,所述处理器根据所述初始的布局中的初始的单元密度分布(cell density distribution)执行本文档来自技高网...

【技术保护点】
一种在集成电路的布局区域重新分配单元密度的方法,包括:获取所述集成电路的初始的布局中的初始的单元密度分布;获取所述集成电路的初始的布局中的布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的初始的布局中的布线密度分布识别所述集成电路的至少一个第一区域,并通过在所述第一区域中插入空白增加所述第一区域的单元密度,以获取改善后的单元密度分布,其中,所述第一区域在所述获取的初始的布局中的布线密度大于预先设定的密度阈值;平滑所述改善后的单元密度分布来获取校准后的单元密度分布;从所述校准后的单元密度分布中移除所述空白来获取最终的布局;以及,根据所述最终的布局实施所述集成电路。

【技术特征摘要】
2015.02.09 US 62/113,602;2015.11.04 US 14/932,1651.一种在集成电路的布局区域重新分配单元密度的方法,包括:获取所述集成电路的初始的布局中的初始的单元密度分布;获取所述集成电路的初始的布局中的布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的初始的布局中的布线密度分布识别所述集成电路的至少一个第一区域,并通过在所述第一区域中插入空白增加所述第一区域的单元密度,以获取改善后的单元密度分布,其中,所述第一区域在所述获取的初始的布局中的布线密度大于预先设定的密度阈值;平滑所述改善后的单元密度分布来获取校准后的单元密度分布;从所述校准后的单元密度分布中移除所述空白来获取最终的布局;以及,根据所述最终的布局实施所述集成电路。2.如权利要求1所述的方法,其特征在于,所述将所述初始的单元密度分布平坦包括:在所述初始的布局中插入空白来将所述初始的单元密度分布平坦。3.如权利要求2所述的方法,其特征在于,所述在所述初始的布局中插入空白来将所述初始的单元密度分布平坦包括:以特定的密度值在所述初始的布局中插入所述空白来将所述初始的单元密度分布平坦;所述通过在所述第一区域中插入空白增加所述第一区域的单元密度包括:以所述特定的密度值在所述第一区域中插入所述空白;其中,所述特定的密度值大于所述初始的单元密度分布的最大的单元密度。4.如权利要求1-3中任一项所述的方法,其特征在于,所述第一区域为所述获取的初始的布局的布线密度分布中的布线拥塞区域,所述布线拥塞区域对应所述初始的单元密度分布中的一个低单元密度区域。5.如权利要求1-3中任一项所述的方法,其特征在于,所述最终的布局的布局区域小于所述获取的所述初始的布局的布局区域。6.如权利要求1-3中任一项所述的方法,其特征在于,所述平滑所述改善后的单元密度分布包括:扩大所述第一区域的单元的单元尺寸;以及收缩所述所述第一区域之外的第二区域中的单元的单元尺寸。7.如权利要求6所述的方法,其特征在于,所述获取的所述初始的布局中的最大的单元密度小于所述最终的布局中的最大的单元密度。8.如权利要求6所述的方法,其特征在于,所述获取的所述初始的布局中的最小的布线密度小于所述最终的布局中的最小的布线密度。9.如权利要求4所述的方法,其特征在于,所述方法还包括:从所述校准后的单元密度分布中移除所述空白之后,恢复所述校准后的单元密度分布中的所述第一单元和所述第二单元的单元尺寸,以获取最终的布局。10.一种在集成电路的布局区域中重新分配单元密度的方法,其特征在于,包括:获取所述集成电路的初始的布局中的初始的单元密度分布;获取所述集成电路的初始的布局中的布线密度分布;将所述初始的单元密度分布平坦,并获取平坦后的单元密度分布;根据所述获取的初始的布局中的布线密度分布识别所述集成电路中的至少一个第一区域,其中,所述第一区域在所述获取的初始的布局中的布线密度大于预先设定的密度阈值;扩大所述第一区域中的至少一个第一单元,以增大所述第一单元的单元尺寸;收缩所述第一区域之外的至少一个第二单元,以减小所述第二单元的单元尺寸;根据所述扩大后的所述第一单元和所述收缩后的所述第二单元重新分配所述初始的布局的单元密度,以获取校准后的单元密度分布;恢复所述校准后的单元密度分布中的所述第一单元和所述第二单元的单元
\t尺寸,以获取最终的布局;以及,根据所述最终的布局实施所述集成电路。11.如权利要求10所述的方法,其特征...

【专利技术属性】
技术研发人员:刘时颖许钦雄留启原杨君智黄照能
申请(专利权)人:联发科技股份有限公司
类型:发明
国别省市:中国台湾;71

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1