当前位置: 首页 > 专利查询>中北大学专利>正文

高精度IRIG-B(AC)码解调方法及装置制造方法及图纸

技术编号:12225600 阅读:106 留言:0更新日期:2015-10-22 02:43
本发明专利技术涉及用于发射场以及电力系统等领域的B码授时技术,具体为一种高精度IRIG-B(AC)码解调方法及装置。解决了目前解调交流B码存在延迟无法克服的技术问题。一种高精度IRIG-B(AC)码解调装置,包括IRIG-B(AC)码交流输入端口、滤波器、模数转换芯片、FPGA系统以及串口芯片和BNC端子;所述FPGA系统包括交流信号解调模块、直流信号解码模块、串口输出模块和秒脉冲产生模块。本发明专利技术提前测量出B码的准秒脉冲与输入B码的帧头之间的时间间隔,提前计算出需要延时的时间,然后以恒温晶振产生的时钟作为计数的基准,在下一秒输出脉冲信号。每一个输出的秒脉冲只与上一秒检测到的帧头有关,与其他信号无关。

【技术实现步骤摘要】
高精度IRIG-B(AC)码解调方法及装置
本专利技术涉及用于发射场以及电力系统等领域的B码授时技术,具体为一种高精度IRIG-B(AC)码解调方法及装置。
技术介绍
时统技术早已在科学研究、火箭发射、导航、武器试验、飞行器试验、日常生活中有着广泛的应用。靶场间仪器组(interrangeinstrumentationgroup,IRIG)码是美国靶场司令委员会制定的一种时间标准,共有4种并行二进制时间码格式和6种串行二进制时间码格式,其中最常用的是美国靶场仪器组B型格式,即IRIG-B(简称B码)时间码格式。B码作为时统设备与用户设备的标准接口,提高了整个电子装备试验中测控通讯系统的可靠性、一致性,并直接影响靶场试验设备的测量精度。IRIG-B码通常采用串行时间码格式,时帧速率为1帧/秒,每一帧由100个码元组成,每个码元的周期为10ms。IRIG-B码分直流、交流两种(见图1、2),直流码为脉宽编码方式。码元的频率为100Hz,每个码元的周期为10ms。每帧B码的帧头PR的上升沿代表着这一秒时刻的开始,IRIG-B(DC)中有三种码型,每10个码元中有一个标志位‘P’,其高电平脉冲宽度8ms;高电平脉冲宽度5ms,则表示‘1’,高电平脉冲宽度2ms,表示‘0’。交流码是1kHz的正弦波载频对直流码进行幅度调制后形成的。直流码与交流码相比较,精度更高,但是由于脉冲信号的频谱丰富,窄带信道无法传输,而只适用于电缆传输到比较近的用户。在实际的应用中通常使用交流B码授时,在解调交流码的时候是通过乘法器与低通滤波器将交流码转换为直流码,然后对直流码进行解调后输出标准时间信息与秒脉冲。解调精度的标准则是检测输出秒脉冲与B码帧头的时间间隔。时间间隔越小精度越高。现有的解码器与解码卡的解调交流B码的思路主要是以下两种:1、通过过零比较器产生1Khz的基准信号,通过AD将模拟信号转换为数字信号,从而根据交流信号高幅值的个数判断码元的值。(IRIG_B码数字解调技术-陈涛)2、使用数字解调技术,首先将输入的数字信号与同频同相的载波相乘,然后再通过数字滤波器将其中的交流分量滤除,就可以将交流码转换为直流码,然后按照解调直流码的方式解调。(基于FPGA的IRIG-B码解码设计—张明迪)然而,这两种方法存在以下的问题不可避免导致的解调秒脉冲输出滞后于B码标准秒脉冲:1、为了去除交流信号在长距离传输过程中耦合的噪声与杂波,解调器通常会在输入端后添加低通滤波器,而低通滤波器会导致交流信号产生整体的相角偏移,相当于输入信号有了一定的延时。2、(方法1)使用过零比较电路,基准电压不可能是理想状态的0V,因而其产生的1KHZ的脉冲信号也会有一定的偏差。3、(方法2)数字化解码,信号经过数字滤波器,数字滤波器工作原理是对每一个采样值进行有限个卷积运算,最常用的是由一个“抽头延时”加法器和乘法器的集合构成的,而其它多速率滤波器则建立在FIR滤波器的基础上,数字信号经过数字滤波器之后会产生一定的延时。总而言之,现有的提取秒脉冲的思路是:检测到帧头然后尽可能地快速输出秒脉冲,控制芯片在检测到帧头信号到输出信号,程序的运行也需要一定的时间。
技术实现思路
本专利技术为解决目前解调交流B码存在延迟无法克服的技术问题,提供一种高精度IRIG-B(AC)码解调方法及装置。本专利技术是采用以下技术方案实现的:一种高精度IRIG-B(AC)码解调方法,包括如下步骤:(1)、通过FPGA将解码器接收到的交流B码转换成直流B码;(2)、采用FPGA对直流B码进行解调,提取时间信息与输出秒脉冲;所述提取时间信息和输出秒脉冲包括如下步骤:(a)首先判断B码码元的脉冲宽度,依据脉冲宽度对码元进行赋值,当检测到两个连续的P码即两个连续的8毫秒脉冲时,判断第二个脉冲信号为B码码元中的帧头;(b)FPGA内部程序依据后续码元的脉冲宽度与码元在该帧数据中的位置按照B码的格式提取出二进制表示的时间信息,将二进制转换为8421BCD格式之后对时间信息加2秒处理;(c)当FPGA检测到直流B码的第n-1个帧头结刚束时,计数器对码元的上升沿开始计数,当计数结果为99时,即捕捉到直流B码第n(n>=2)个帧头,FPGA输出一个脉冲信号,这个信号就是准秒脉冲;(d)根据预先测得的准秒脉冲上升沿与B码标准帧头上升沿之间的时间间隔Td秒,FPGA在获取到准秒脉冲之后,又经过1-Td秒间隔后输出一个秒脉冲,即输出的秒脉冲与输入B码的第n+1个帧头的上升沿保持同步,与此同时在步骤b中获取的时间信息与秒脉冲同步输出,从而完成对IRIG-B(AC)码的解调。解码器解调直流B码的主要任务是提取时间信息与输出秒脉冲,接收到B码之后首先判断码元的上升沿与下降沿,同时对码元的脉冲宽度进行计数。根据脉冲的宽度对码元赋值,脉冲宽度为2毫秒的码元赋值“00”、脉冲宽度为5毫秒的码元赋值“01”,脉冲宽度为8毫秒的赋值“10”,时间信息提取模块根据码元的赋值与码元在这一帧数据中的位置按照B码的格式提取出二进制表示的时间信息,将二进制转换为8421BCD格式之后对时间信息进行加2,在与时间信息相对应秒脉冲的上升沿通过串口通讯模块传到上位机。当系统检测到两个连续的8ms时,表示这一秒的帧头刚结束,计数器对码元的上升沿开始计数,当计数结果为99时,表示捕捉到了下一秒的帧头,系统输出一个脉冲信号,这个信号就是准秒脉冲。使用精密计数器如安捷伦53132A通用计数器(测量精度可达200PS)测量准秒脉冲与B码标准帧头之间的时间间隔Td秒(仪器可输出前200个测量结果的算术平均值),(依据恒温晶振产生的时钟信号)然后在1-Td秒之后将准秒脉冲输出,实现了与直流B码下一个帧头上升沿的同步输出,该脉冲信号即为标准秒脉冲信号,工作流程如图4所示。B码解调输出时间信息与秒脉冲,时间信息必须按照当前时刻点输出,而秒脉冲却无此要求,只要解码系统输出的脉冲信号与输入B码的帧头对其即可,两个脉冲信号的间隔越小,授时越精确。由于输出秒脉冲与B码帧头的延时是固定的,(浮动范围在纳秒级别)为此提出一种延时输出,将上一秒的秒脉冲延时到下一秒输出,延时的时间为一秒减去固定延时Td秒。进一步的,步骤(d)中,时间间隔1-Td秒使用高稳恒温晶振输出的时钟进行计数,经过S个时钟周期后在直流B码的下一帧码元中帧头的上升沿输出一个秒脉冲,其中S通过下式计算:式中ts为恒温晶振的时钟周期。解码器输出端通过BNC端子输出秒脉冲,采用高稳恒温晶振可以保证时间间隔计量的精确度,满足实际需要。本专利技术所述的高精度IRIG-B(AC)码解调装置是采用如下技术方案实现的:一种高精度IRIG-B(AC)码解调装置,包括IRIG-B(AC)码交流输入端口、与IRIG-B(AC)码交流输入端口相连接的滤波器、与滤波器相连接的模数转换芯片、与模数转换芯片相连接的FPGA系统以及串口芯片和BNC端子;所述FPGA系统的内部程序采用模块化设计,包括交流信号解调模块、直流信号解码模块、串口输出模块、秒脉冲产生模块以及延时模块;串口芯片和BNC端子均与FPGA系统的信号输出端相连接,FPGA系统配有恒温晶振电路和JTAG程序加载电路。解码器的输入端接收到交流B码信号之后,滤波器将信号中本文档来自技高网
...
高精度IRIG-B(AC)码解调方法及装置

【技术保护点】
一种高精度IRIG‑B(AC)码解调方法,其特征在于,包括如下步骤:(1)、通过FPGA将解码器接收到的交流B码转换成直流B码;(2)、采用FPGA对直流B码进行解调,提取时间信息与输出秒脉冲;所述提取时间信息和输出秒脉冲包括如下步骤:(a)首先判断B码码元的脉冲宽度,依据脉冲宽度对码元进行赋值,当检测到两个连续的P码即两个连续的8毫秒脉冲时,判断第二个脉冲信号为B码码元中的帧头;(b)FPGA内部程序依据后续码元的脉冲宽度与码元在该帧数据中的位置按照B码的格式提取出二进制表示的时间信息,将二进制转换为8421BCD格式之后对时间信息加2秒处理;(c)当FPGA检测到直流B码的第n‑1个帧头结刚束时,计数器对码元的上升沿开始计数,当计数结果为99时,即捕捉到直流B码第n个帧头,FPGA输出一个脉冲信号,这个信号就是准秒脉冲;(d)根据预先测得的准秒脉冲上升沿与B码标准帧头上升沿之间的时间间隔Td秒,FPGA在获取到准秒脉冲之后,又经过1‑Td秒间隔后输出一个秒脉冲,即输出的秒脉冲与输入B码的第n+1个帧头的上升沿保持同步,与此同时在步骤b中获取的时间信息与秒脉冲同步输出,从而完成对IRIG‑B(AC)码的解调。...

【技术特征摘要】
1.一种高精度IRIG-B(AC)码解调方法,其特征在于,包括如下步骤:(1)、通过FPGA将解码器接收到的IRIG-B(AC)码转换成IRIG-B(DC)码;(2)、采用FPGA对IRIG-B(DC)码进行解调,提取时间信息与输出秒脉冲;所述提取时间信息和输出秒脉冲包括如下步骤:(a)首先判断IRIG-B(DC)码码元的脉冲宽度,依据脉冲宽度对码元进行赋值,当检测到两个连续的P码即两个连续的8毫秒脉冲时,判断第二个脉冲信号为IRIG-B(DC)码码元中的帧头;(b)FPGA内部程序依据后续码元的脉冲宽度与码元在该帧数据中的位置按照IRIG-B(DC)码的格式提取出二进制表示的时间信息,将二进制转换为8421BCD格式之后对时间信息加2秒处理;(c)当FPGA检测到IRIG-B(DC)码的第n-1个帧头刚结束时,计数器对码元的上升沿开始计数,当计数结果为99时,即捕捉到IRIG-B(DC)码第n个...

【专利技术属性】
技术研发人员:崔永俊张秀艳苏淑静贾磊王希鹏杨兵王晋伟赵秀梅薛志勇
申请(专利权)人:中北大学
类型:发明
国别省市:山西;14

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1