具有调节的共模抑制的差分放大器和具有改进的共模抑制比率的电路制造技术

技术编号:19780361 阅读:32 留言:0更新日期:2018-12-15 11:55
本公开涉及具有调节的共模抑制的差分放大器和具有改进的共模抑制比率的电路。提供具有改进的共模抑制的放大器电路。这可以通过估计输入信号的共模电压值并用它来调整放大器输出的目标共模电压来实现。这可以帮助避免共模电压改变的差分增益。

【技术实现步骤摘要】
具有调节的共模抑制的差分放大器和具有改进的共模抑制比率的电路
本公开涉及具有两个输出和改进的共模抑制性能的差分放大器,其中输出节点处的电压之间的差异基本不受共模输入电压的变化的影响。
技术介绍
众所周知,差分放大器应该在它们各自的第一和第二输入端接收第一和第二信号,并且产生仅仅是第一和第二信号之间差值函数的输出。如果信号具有共模分量,则该共模分量的值不应影响理想差分放大器的输出。然而,在实际器件中,器件失配意味着器件偏离理想响应特性,并且输出表现出对共模输入电压的一些依赖性。因此需要改善使用差分放大器的电路的性能。共模输入信号显示本身作为输出信号的改变的量通常被称为共模抑制比率。实质上,它是衡量放大器如何避免将共模信号与差分信号混合的度量。命名通常术语“放大器”用于指提供增益的电路。有时候这个术语用来表示电路或增益模块,它具有非常高的但不一定良好控制的增益,例如可操作的放大器2,如图1a所示。有时候这个术语被用来包含设置,其中增益模块/运算放大器2在反馈环路内部提供,其中放大器的整体增益由反馈环路定义,如图1b所示。这里,当我们特别希望区分图1a和1b中所示的配置时,那么诸如图1a的布置的东西将被称为增益模块,并且诸如图1b的布置之类的东西将被称为“反馈定义的放大器”。
技术实现思路
根据本公开的第一方面,提供具有前馈路径的差分放大器,用于调节作为输入信号的共模分量的函数的目标共模输出电压。前馈路径可以在增益模块的内部形成,也可以由增益模块周围的组件形成。差分放大器可以包括具有差分输入和差分输出的增益模块。差分放大器的增益可以通过围绕增益模块的反馈网络来设置。反馈网络可以是基于电阻器的网络。然而在其他实施例中,反馈网络可以包括除电阻器之外或代替电阻器的其他组件。其他组件可以在连续时间(非开关)或离散时间(开关)模式下运行。在一个实施例中,提供了一种基于电阻器的反馈限定差分放大器,其具有全差分输出,并且具有用于调整目标共模输出电压以跟踪共模输入信号中的变化的装置。基于电阻器的反馈定义差分放大器具有某些优点,例如它在连续时间工作并且可以被配置为具有低输入阻抗。基于开关电容技术的切碎放大器不具备这些功能。然而,这种基于电阻器的技术由于组件不匹配而更易于产生误差。形成在集成电路上的电阻器仍然可能由于光刻中的微小差异,它们被蚀刻的量的轻微变化或者材料沉积量或其他制造误差而导致制造误差。此外,这些电阻器由于放置它们的电路的操作而可能经历热梯度,这意味着电阻器在使用中可能在不同的温度下操作。此外,封装集成电路的过程会对硅芯片造成压力,这也会引起所谓的匹配电阻器的电阻变化。所有这些影响都会在电阻器控制的差分放大器周围的增益设置网络中引起电阻失配。相对适中的量的电阻失配会导致放大器的共模抑制比显着降低。有利地,增益模块,例如操作放大器,与根据输入共模电压来修改目标输出共模电压的电路相关联。该电路还可以施加电压以便移动差分放大器的输入和输出电压范围之间的电压域(共模电压)。根据本公开的第二方面,提供一种在存在共模输入信号分量的情况下改善差分放大器的线性的方法,所述差分放大器具有用于控制放大器的差分输出的目标共模输出电压的控制节点,所述方法包括:作为输入共模电压的函数的到目标共模电压控制节点的控制信号。虽然在对共模抑制性能产生不利影响的背景下,即将共模信号转换为差分信号分量的背景下,元件失配的问题将关于反馈定义的放大器进行详细讨论,其中反馈回路主要由电阻器会产生相同的问题,其中反馈回路由电容器或其他组件定义。附图说明现在将参考附图仅以非限制性示例的方式描述本公开的实施例,其中:图1a示意性地表示具有单个输出的差分操作放大器形式的增益模块,以及图1b示出在反馈限定的放大器电路内使用差分操作放大器;图2示意性地示出了具有单个输出的用于反馈限定的差分放大器的电路;图3a和3b示出电压V1和V2的两个表示之间的电路等效性,以说明如何定义共模电压;图4示意性示出了完全差分放大器的电路图,即具有差分输入和差分输出的电路图;图5示意性地示出了作为图1中所示类型的单级差分放大器的简化电路图,用于引入对这种放大器进行的修改以使其完全不同;图6示意性地示出了包括共模输出电压控制器的全差分放大器的简化电路图;图7示出了反馈限定放大器的电路图,其中放大器的增益由反馈网络的电阻器R1至R4设置,输出共模电压由馈送至放大器的共模控制端子的电压控制;图8示意性地示出了根据本公开的教导的放大器,其中使用共模输入电压来修改目标共模输出电压;图9示出了两级放大器的一个实施例,其中第一级共模电压基于共模输入电压控制;图10示出了构成本公开实施例的与放大器结合的测量系统;图11是包括偏移发生器的输入共模电压跟踪电路的电路图;图12是基于开关电容的输入共模电压跟踪电路的电路图;图13示出了图12的电路如何布置以增加偏移电压;图14示出了根据本公开的教导操作的反馈限定放大器的另一实施例;图15示出了根据本公开的教导操作的反馈限定放大器的另一实施例;图16示出了具有完全差分放大器之后的离散时间共模电压修正电路的另一个实施例。具体实施方式图1a示意性地示出具有单个输出的差分放大器的电路图。如本领域技术人员所知,差分放大器2具有反相输入端4和非反相输入端6。这些输入端之间的差值由放大器2的内部增益获得,并且输出在单个输出端8提供电压。通常,放大器的内部增益大约在1万到1千万倍的数量级或更多。为了使放大器具有适度增益,如本领域技术人员已知的那样提供通常包括第一和第二电阻器的反馈回路。图1b示出了在运行放大器/增益模块2周围提供由电阻器R1和R2形成的反馈回路,使得电阻器限定放大器的增益,总体标记为10。图1b的电路结构可以如图2所示进行修改,以提供接受输入电压V1和V2并提供单个输出电压Vout的差分放大器。与每个输入相关的电阻的比率设置放大器的增益。如果比值不匹配,那么共模电压Vcm的变化传播通过放大器,以看起来像由于差分输入电压的变化而导致的变化。如图3a所示,电压V1和V2可以被认为是源自信号源11,信号源11包括以公共节点(例如地)为参考的第一和第二电压源V1和V2。然而,在图3b中示出了等效表示,其中电压源提供表示V1和V2的平均值的共模电压Vcm,然后将另外的电压Vi添加到其中以产生V1,并且从Vcm减去相同的电压Vi以产生V2。在一个理想的差分反馈定义放大器和为了方便,V1和V2将被称为Vin-和Vin+。仔细考虑图2的放大器,可以通过将Vin-与Vin+短路,然后在电压范围内扫描该输入来测试其共模抑制比率。理想情况下,输出电压Vout不会随着共模输入电压扫过输入范围而改变。通常,可以写出一个方程式,将公共模式增益Gcm和差分增益Gdiff链接到输出电压的变化与输入电压的变化之间。与输入和输出电压的比率相反,增益是以小信号形式(改变输出电压与输入电压的变化)写入的,因为差分放大器也可用于对其输入和输出域执行电压转换。因此,增益可以写成共模抑制比率CMRR可以表示为这些以分贝表示的项的比率。共模抑制比率是一个重要的放大器参数。使用差分放大器的一种方法是拒绝共模信号,使得放大器输出处的共模电压或者目标共模电压不变,即Gcm倾向于为零。然而,正如后面将会示本文档来自技高网...

【技术保护点】
1.差分放大器,包括:目标输出共模电压输入节点,被配置为经由前馈路径接收输入信号以设定所述差分放大器的目标共模输出电压,其中所述差分放大器被配置为在所述差分放大器的输出节点处产生共模电压,作为表示所述差分放大器的差分输入处的共模信号的接收信号的函数。

【技术特征摘要】
2017.06.07 US 15/616,0621.差分放大器,包括:目标输出共模电压输入节点,被配置为经由前馈路径接收输入信号以设定所述差分放大器的目标共模输出电压,其中所述差分放大器被配置为在所述差分放大器的输出节点处产生共模电压,作为表示所述差分放大器的差分输入处的共模信号的接收信号的函数。2.权利要求1所述的差分放大器,其中所述共模输入电压使用分压器形成。3.权利要求2所述的差分放大器,其中所述分压器包括电阻器。4.权利要求2所述的差分放大器,其中电流源用于修改所述分压器的输出。5.权利要求2所述的差分放大器,其中所述分压器包括开关电容器。6.权利要求1所述的差分放大器,其中所述差分放大器是连续时间差分放大器。7.权利要求1所述的差分放大器,其中所述差分放大器的增益由围绕增益模块形成的阻抗网络设定。8.权利要求1所述的差分放大器,其中所述差分放大器具有增益模块,所述增益模块具有第一输入、第二输入、第一输出和第二输出,并且其中第一输入节点通过第一电阻器(R1)连接到所述第一输入,所述第一输入通过第二电阻器(R2)连接到所述第一输出,第二输入节点通过第三电阻器(R3)连接到所述第二输入,并且所述第二输入通过第四电阻器(R4)连接到第二输出,并且其中电阻器尺寸的比率控制所述放大器的差分和共模增益。9.权利要求1所述的差分放大器,包括在包含第二放大器的放大器电路中,所述第二放大器设置成接收来自所述差分放大器的输出,其中所述第二放大器具有基本上静态的目标共模输出电压。10.权利要求1所述的差分...

【专利技术属性】
技术研发人员:J·E·D·赫维茨
申请(专利权)人:亚德诺半导体集团
类型:发明
国别省市:百慕大群岛,BM

网友询问留言 已有0条评论
  • 还没有人留言评论。发表了对其他浏览者有用的留言会获得科技券。

1